vivado产生报告阅读分析14-时序报告10

Vivado IDE 中的例外报告
Report Exceptions ”对话框
AMD Vivado IDE 选择“ Reports ” → “ Timing ” → “ Report Exceptions 报告 > 时序 > 例外报告 即可打开“Report Exceptions ”对话框。
从“ Report Exceptions GUI 生成的报告在单次运行中可合并多个表。通常需使用不同命令行选项来多次运行report_exceptions 才能生成此类报告。因此 通过 GUI 运行“ Report Exceptions 例外报告 的时间可能比通过 Tcl 控制台运行 report_exceptions 的时间更长。
Report Exceptions ”对话框 Options ”选项卡
Report Exceptions 例外报告 对话框中的“ Options 选项 选项卡如下图所示。
vivado产生报告阅读分析14-时序报告10_第1张图片
Report Exceptions ”对话框中的“ Options ”选项卡包含以下几个部分
Report ”部分
Coverage 覆盖范围 ): 通过在详情表内附加的列来报告时序例外覆盖范围。
File Output ”部分
• “ Write results to file 将结果写入文件 ): 将结果写入指定文件。默认情况下 报告将写入 Vivado IDE 的 “Timing 时序 窗口。 等效的 Tcl 选项 -file
• “ Overwrite 覆盖 或“ Append 追加 ): 当报告写入文件时 2 个选项可用于确定是覆盖指定文件还是向现有报告追加新信息。 等效的 Tcl 选项 -append
Miscellaneous ”部分
• “ Ignore command errors 忽略命令错误 ): 以静默方式执行命令 忽略所有命令行错误 不返回任何消息。此命令还会返回 TCL_OK 忽略执行期间遇到的所有错误。
等效的 Tcl 选项 -quiet
• “ Suspend message limits during command execution 命令执行期间暂挂消息限制 ): 临时覆盖所有消息限制并返回所有消息。 等效的 Tcl 选项 -verbose
Report Exceptions Targets ”选项卡
Report Exceptions 例外报告 对话框中的“ Targets 目标 选项卡如下图所示。
vivado产生报告阅读分析14-时序报告10_第2张图片
Report Exceptions ”提供了多个筛选选项 可用于报告特定的时序例外或时序例外组
• “ Start Points 起点
• “ Through Points 穿越点
• “ End Points 端点
使用筛选选项时 仅报告根据这些选项严格定义的时序例外。
Exceptions Report ”详情
Exceptions Report 例外报告 包含以下几个部分
General Information ”部分
Exceptions Report 例外报告 的“ General Information 常规信息 部分提供了有关以下内容的信息
• 设计名称
• 所选器件、封装和速度等级 带有速度文件版本
Vivado Design Suite 版本
• 当前日期
• 为生成报告所执行的等效 Tcl 命令
Summary ”部分
对于每一种约束类型 报告将包含有效约束数量、已忽略的约束数量、已忽略的对象数量以及已覆盖的建立和保持端点数量。该表提供的信息比从命令行 ( report_exceptions - summary) 运行 report_exceptions 时提供的汇总表更丰富。 如需获取每一种例外类型的详细信息, 可参阅该汇总表中提供的指向“ Exceptions 例外 部分或“ Ignored Objects” 已忽略的对象 部分的超链接。“ Valid Constraints 有效约束 和“ Ignored Constraints 已忽略的 约束) 链接至同一个“ Exceptions ”详情表。
Exceptions ”部分
此部分可支持访问每个时序例外的详情表。针对每种类型的时序例外都有 1 个对应类别 并且这些类别具有源自“Summary 汇总 表的超链接。详情表的格式取决于在 GUI 中是否已选中“ Coverage 覆盖率 选项。
Ignored Objects ”部分
这部分用于报告已忽略的起点和端点 按约束类型组织。这等同于从 Tcl 控制台运行 report_exceptions - ignored_objects。

你可能感兴趣的:(fpga开发)