PL端与PS端的数据交互

zynq 7000 SOC的HP口是High-Performance Ports的缩写,如下图所示,一共有4个HP接口,HP接口是AXI Slave设备,我们可以通过这4个HP接口实现高带宽的数据交互。实现PL读写PS端挂载的DDR需要使用HP接口。

PL端与PS端的数据交互_第1张图片
PL端的数据直接写进DDR内存里,通过HP ,交叉互联。
PL端与PS端的数据交互_第2张图片
PL端时钟的改变。使带宽更大。 150 * 64B

添加时钟输入时,是额外添加的端口

PL端与PS端的数据交互_第3张图片
经过自动分配地址后 就可以访问PS端的DDR。

因为以上文件的路径不同 所以要勾选添加进PRO:
PL端与PS端的数据交互_第4张图片
顶层文件中的使用逻辑分析仪:
PL端与PS端的数据交互_第5张图片
这样 XDC文件中 就添加了ila的核。

PL端与PS端的数据交互_第6张图片
交互成功。

这样就使用PL端口读写到了PS(SDK写进去DDR的数据)。

你可能感兴趣的:(FPGA,例程学习,fpga开发)