Verilog系统任务$random

一、系统任务$random

$random 是Verilog提供的一个随机数生成系统任务,调用该任务后,将会返回一个32bit的integer类型的有符号的值。
其调用格式有3种:

$random;
$random();     // 等同第一种
$random(seed);

二、常用形式

// 产生的随机数范围为 -(MAX_NUM-1) ~ (MAX_NUM-1) 
num1 = $random() % MAX_NUM;
      
// 产生的随机数范围为 0 ~ (MAX_NUM-1)
num2 = {$random()} % MAX_NUM;

// 产生的随机数范围为 MIN_NUM ~ MAX_NUM,包含边界
num3 = MIN_NUM + {$random()} % (MAX_NUM-MIN_NUM+1);

你可能感兴趣的:(FPGA,FPGA,Verilog)