【Verilog】有限状态机的定义和分类

系列文章

数值(整数,实数,字符串)与数据类型(wire、reg、mem、parameter)
运算符
数据流建模
行为级建模
结构化建模
组合电路的设计和时序电路的设计


  • 系列文章
  • 状态机定义
  • 状态机分类


状态机定义

有限状态机(Finite State Machine, FSM)简称状态机,是用来表示系统中的有限个状态及这些状态之间的转移和动作的模型。这些转移和动作依赖于当前状态和外部输入,它下一步的状态逻辑通常是重新建立的,也称之为随机逻辑。

状态机分类

  • Moore型状态机:输出只和当前状态有关而。

    即: 次态=f(当前状态,输入),输出=f(当前状态)

  • Mealy型状态机:输出不仅和当前状态有关而且和输入有关。

    即: 次态=f(当前状态,输入),输出=f(当前状态,输入)

你可能感兴趣的:(fpga,verilog)