【LabVIEW FPGA入门】使用数字IO卡实现计数器输入功能

       方法1:

        1.首先需要用一个数字IO的输入FPGA端口,并将其拖入程序框图中,同时创建一个循环。

        【LabVIEW FPGA入门】使用数字IO卡实现计数器输入功能_第1张图片

        2.如果想要在循环中实现累加功能,就可以使用移位寄存器。

        数字输入的当前值和历史值进行比较,用于一个判断大于,来确定是否出现了上升沿。

【LabVIEW FPGA入门】使用数字IO卡实现计数器输入功能_第2张图片

         同时如果想确认上升沿的次数也可以用移位寄存器,如果出现上升沿则计数加1,否则保持原值。

【LabVIEW FPGA入门】使用数字IO卡实现计数器输入功能_第3张图片

        方法2:

        1.使用IO的方法节点,FPGA I/O方法节点检测到边沿后,程序框图的计数器值加1,且将计数器的值存储在While循环的移位寄存器内。使用前面板显示控件或局部变量可查看计数器的值。

【LabVIEW FPGA入门】使用数字IO卡实现计数器输入功能_第4张图片

【LabVIEW FPGA入门】使用数字IO卡实现计数器输入功能_第5张图片

你可能感兴趣的:(FPGA】,labview,LabVIEW,FPGA)