CAN—通讯实验

本节主要介绍以下内容

CAN协议简介

STM32CAN外设简介

CAN控制的相关结构体

CAN—通讯实验

一、CAN协议简介

        CAN是控制器局域网络(Controller Area Network)的简称,它是由研发和生产汽车电子产品著称的德国BOSCH公司开发的,并最终成为国际标准(ISO11519),是国际上应用最广泛的现场总线之一。  

        CAN总线协议已经成为汽车计算机控制系统和嵌入式工业控制局域网的标准总线,并且拥有以CAN为底层协议专为大型货车和重工机械车辆设计的J1939协议。近年来,它具有的高可靠性和良好的错误检测能力受到重视,被广泛应用于汽车计算机控制系统和环境温度恶劣、电磁辐射强及振动大的工业环境。

1.1 CAN物理层

        与I2CSPI等具有时钟信号的同步通讯方式不同,CAN通讯并不是以时钟信号来进行同步的,它是一种异步通讯,只具有CAN_HighCAN_Low两条信号线,共同构成一组差分信号线,以差分信号的形式进行通讯。

        CAN物理层的形式主要分为闭环总线及开环总线网络两一个适合于高速通讯,一个适合于远距离通讯。

1.1.1 闭环总线网络

        CAN闭环通讯网络一种遵循ISO11898标准的高速、短距离网络,它的总线最大长度为40m,通信速度最高为1Mbps,总线的两端各要求有一个“120欧”的电阻。

CAN—通讯实验_第1张图片

 1.1.2 开环总线网络

        CAN开环总线网络是遵循ISO11519-2标准的低速、远距离网络,它的最大传输距离为1km,最高通讯速率为125kbps,两根总线是独立的、不形成闭环,要求每根总线上各串联有一个“2.2千欧”的电阻。

CAN—通讯实验_第2张图片

1.1.2 通讯节点 

        CAN总线上可以挂载多个通讯节点,节点之间的信号经过总线传输,实现节点间通讯。由于CAN通讯协议不对节点进行地址编码,而是对数据内容进行编码,所以网络中的节点个数理论上不受限制,只要总线的负载足够即可,可以通过中继器增强负载。

        CAN通讯节点由一个CAN控制器及CAN收发器组成,控制器与收发器之间通过CAN_TxCAN_Rx信号线相连,收发器与CAN总线之间使用CAN_HighCAN_Low信号线相连。其中CAN_TxCAN_Rx使用普通的类似TTL逻辑信号,而CAN_HighCAN_Low是一对差分信号线,使用比较特别的差分信号。

        当CAN节点需要发送数据时,控制器把要发送的二进制编码通过CAN_Tx线发送到收发器,然后由收发器把这个普通的逻辑电平信号转化成差分信号,通过差分线CAN_HighCAN_Low线输出到CAN总线网络。而通过收发器接收总线上的数据到控制器时,则是相反的过程,收发器把总线上收到的CAN_HighCAN_Low信号转化成普通的逻辑电平信号,通过CAN_Rx输出到控制器中。

1..1.3 差分信号 

        差分信号又称为差模信号,与传统使用单根信号线电压表示逻辑的方式有区别,使用差分信号传输时,需要根信号线,这两个信号线的振幅相等,相位相反,通过两根信号线的电压差值来表示逻辑0和逻辑1

CAN—通讯实验_第3张图片

使用了V+V-信号的差值表达出了图下方的信号 

 相对于单信号线传输的方式,使用差分信号传输具有如下优点:

  •  抗干扰能力强,当外界存在噪声干扰时,几乎会同时耦合到两条信号线上,而接收端只关心两个信号的差值,所以外界的共模噪声可以被完全抵消。
  • 能有效抑制它对外部的电磁干扰,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。
  • 时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。
  • 由于差分信号线具有这些优点,所以在USB协议、485协议、以太网协议及CAN协议的物理层中,都使用了差分信号传输。
1.1.4 CAN协议中的差分信号

        CAN协议中对它使用的CAN_HighCAN_Low表示的差分信号做了规定。以高速CAN协议为例,当表示逻辑1(隐性电平)CAN_HighCAN_Low线上的电压均为2.5v,即它们的电压差VH-VL=0V;而表示逻辑0(显性电平)CAN_High的电平为3.5VCAN_Low线的电平为1.5V,即它们的电压差为VH-VL=2V

信号

ISO11898(高速)

ISO11519-2(低速)

隐性(逻辑1)

显性(逻辑0)

隐性(逻辑1)

显性(逻辑0)

最小值

典型值

最大值

最小值

典型值

最大值

最小值

典型值

最大值

最小值

典型值

最大值

CAN_HighV

2.0

2.5

3.0

2.75

3.5

4.5

1.6

1.75

1.9

3.85

4.0

5.0

CAN_LowV

2.0

2.5

3.0

0.5

1.5

2.25

3.10

3.25

3.4

0

1.0

1.15

High-Low电位差 (V)

-0.5

0

0.05

1.5

2.0

3.0

-0.3

-1.5

-

0.3

3.0

-

CAN—通讯实验_第4张图片         CAN总线中,必须使它处于隐性电平(逻辑1)或显性电平(逻辑0)中的其中一个状态。假如有两个CAN通讯节点,在同一时间,一个输出隐性电平,另一个输出显性电平,类似I2C总线的“线与”特性将使它处于显性电平状态,显性电平的名字就是这样来的,即可以认为显性具有优先的意味。

        由于CAN总线协议的物理层只有1对差分线,在一个时刻只能表示一个信号,所以对通讯节点来说,CAN通讯是半双工的,收发数据需要分时进行。在CAN的通讯网络中,因为共用总线,在整个网络中同一时刻只能有一个通讯节点发送信号,其余的节点在该时刻都只能接收。

1.2 CAN协议层

CAN的协议层则规定了通讯逻辑。

1.2.1. CAN的波特率及位同步

        由于CAN属于异步通讯,没有时钟信号线,连接在同一个总线网络中的各个节点会像串口异步通讯那样,节点间使用约定好的波特率进行通讯,特别地,CAN还会使用“位同步”的方式来抗干扰、吸收误差,实现对总线电平信号进行正确的采样,确保通讯正常

①位时序分解

        为了实现位同步,CAN协议把每一个数据位的时序分解成SS段、PTS段、PBS1段、PBS2段,这四段的长度加起来即为一个CAN数据位的长度。分解后最小的时间单位是Tq,而一个完整的位由8~25Tq组成。

CAN—通讯实验_第5张图片

        图中表示的CAN通讯信号每一个数据位的长度为19Tq,其中SS段占1TqPTS段占6TqPBS1段占5TqPBS2段占7Tq。信号的采样点位于PBS1段与PBS2段之间,通过控制各段的长度,可以对采样点的位置进行偏移,以便准确地采样。

SS(SYNC SEG)

SS译为同步段,若通讯节点检测到总线上信号的跳变沿被包含在SS段的范围之内,则表示节点与总线的时序是同步的,当节点与总线同步时,采样点采集到的总线电平即可被确定为该位的电平。SS段的大小固定为1Tq

PTS(PROP SEG)

PTS译为传播时间段,这个时间段是用于补偿网络的物理延时时间。是总线上输入比较器延时和输出驱动器延时总和的两倍。PTS段的大小可以为1~8Tq。(这个不用过多关注,后面不太用)。

PBS1(PHASE SEG1)

PBS1译为相位缓冲段,主要用来补偿边沿阶段的误差,它的时间长度在重新同步的时候可以加长。PBS1段的初始大小可以为1~8Tq

PBS2(PHASE SEG2)

PBS2这是另一个相位缓冲段,也是用来补偿边沿阶段误差的,它的时间长度在重新同步时可以缩短。PBS2段的初始大小可以为2~8Tq

②通讯的波特率

  总线上的各个通讯节点只要约定好1Tq的时间长度以及每一个数据位占据多少个Tq,就可以确定CAN通讯的波特率。

  例如,假设上图中的1Tq=1us,而每个数据位由19Tq组成,则传输一位数据需要时间T1bit =19us,从而每秒可以传输的数据位个数为:

  1x106­/19 = 52631.6 (bps)

  这个每秒可传输的数据位的个数即为通讯中的波特率。

1.2.2. CAN的报文种类及结构

当使用CAN协议进行通讯时,需要对数据、操作命令(如读/)以及同步信号进行打包,打包后的这些内容称为报文。

1.2.2.1 报文的种类

在原始数据段的前面加上传输起始标签、片选(识别)标签和控制标签,在数据的尾段加上CRC校验标签、应答标签和传输结束标签,把这些内容按特定的格式打包好,就可以用一个通道表达各种信号,各种各样的标签就如同SPI中各种通道上的信号,起到了协同传输的作用。当整个数据包被传输到其它设备时,只要这些设备按格式去解读,就能还原出原始数据,这样的报文就被称为CAN的“数据帧”。

为了更有效地控制通讯,CAN一共规定了5种类型的帧。

帧用途

数据帧

用于节点向外传送数据

遥控帧

用于向远端节点请求数据

错误帧

用于向远端节点通知校验错误,请求重新发送上一个数据

过载帧

用于通知远端节点:本节点尚未做好接收准备

帧间隔

用于将数据帧及遥控帧与前面的帧分离开来

1.2.2.2 数据帧的结构

数据帧的结构图:

CAN—通讯实验_第6张图片 数据帧以一个显性位(逻辑0)开始,以7个连续的隐性位(逻辑1)结束,在它们之间,分别有仲裁段、控制段、数据段、CRC段和ACK段。

①帧起始

SOF(Start Of Frame),译为帧起始,帧起始信号只有一个数据位,是一个显性电平,它用于通知各个节点将有效数据传输,其它节点通过帧起始信号的电平跳变沿来进行硬同步。

②仲裁段

当同时有两个报文被发送时,总线会根据仲裁段的内容决定哪个数据包能被 传输,这也是它名称的由来。

仲裁段的内容主要为本数据帧的ID信息(标识符),数据帧具有标准格式和扩展格式两种,区别就在于ID信息的长度,标准格式的ID为11位,扩展格式的ID为29位,它在标准ID的基础上多出18位。

在CAN协议中,ID起着重要的作用,它决定着数据帧发送的优先级,也决定着其它节点是否会接收这个数据帧。CAN协议不对挂载在它之上的节点分配优先级和地址,对总线的占有权是由信息的重要性决定的,即对于重要的信息,可以给它打包一个优先级更高的ID,使它能够及时发出去。

也正因为它这样的优先级分配原则,使得CAN的扩展性大大加强,在总线上增加或者减少节点并不影响其它设备。

CAN—通讯实验_第7张图片

报文的优先级,是通过ID的仲裁来确定的,根据前面对物理层的分析,我们知道如果总线上同时出现显性电平和隐形电平,总线的状态会被置为显性电平,CAN正是利用这个特性进行仲裁。

若两个节点同时竞争CAN总线的占有权,当它们发送报文时,若首先出现隐形电平,则会失去对总线的占有权,进入接收状态,在开始阶段,两个设备发送的电平一样,所以它们一直继续发送数据,到了途中箭头所指的时序处,节点单元1发送的为隐形电平,节点单元2发送的为显性电平,由于总线的“线与”特性使它表达出显性电平,因此单元2竞争总线成功,这个报文得以被继续发送出去。

仲裁段ID的优先级也影响着接收设备对报文的反应。因为在CAN总线上数据是以广播的形式发送的,所有连接在CAN总线的节点都会收到所有其它节点发送出的有效数据,因而CAN控制器大多具有根据ID过滤报文的功能,它可以控制自己只能接收某些ID的报文。

 ③RTRIDESRR

CAN—通讯实验_第8张图片

RTR位(Remote Transmission Request Bit),译作远程传输请求位,它是用于区分数据帧和遥控帧的,当它为显性电平时表示数据帧,隐形电平时表示遥控帧。

IDE(Identifier Extension Bit),译作标识符扩展位,它是用于区分标准格式与扩展格式,当它为显性电平时表示标准格式,隐形电平时表示扩展格式。

SRR(Substitute Remote Request Bit),只存在于扩展格式,它用于替代标准格式中的RTR位,由于扩展帧中的SRR为隐形位,RTR在数据帧为显性位,所以在两个ID相同的标准格式报文与扩展格式报文中,标准格式的优先级较高。

④控制段

在控制段中的r1和r0为保留位,默认设置为显性位,它最主要的是DLC段(Data Length Code),译为数据长度码,它由4个数据位组成,用于表示本报文中的数据段含有多少个字节,DLC段表示的数字为0~8

数据段

数据段为数据帧的核心内容,它是节点要发送的原始信息,由0~8个字节组成,MSB先行。

CRC

为了保证报文的正确传输,CAN的报文包含了一段15位的CRC校验码,一旦接收节点算出的CRC码跟接收到的CRC码不同,则它会向发送节点反馈出错信息,利用错误帧请求它重新发送。CRC部分的计算一般由CAN控制器硬件完成,出错时的处理则由软件控制最大重发数。

CRC校验码之后,有一个CRC界定符,它为隐性位,主要作用是把CRC校验码与后面的ACK段间隔起来。

ACK

ACK段包括一个ACK槽位,和ACK界定符位。类似I2C总线,在ACK槽位中,发送节点发送的是隐性位,而接收节点则在这一位中发送显性位以示应答。在ACK槽和帧结束之间由ACK界定符间隔开。

帧结束

EOF(End Of Frame),译为帧结束,帧结束段由发送节点发送的7个隐性位表示结束。

1.2.2.3 其它报文

CAN—通讯实验_第9张图片

二、 STM32CAN外设简介

        STM32的芯片中具有bxCAN控制器 (Basic Extended CAN),它支持CAN协议2.0A和2.0B标准。

         该CAN控制器支持最高的通讯速率为1Mb/s;可以自动地接收和发送CAN报文,支持使用标准ID和扩展ID的报文外设中具有3个发送邮箱。发送报文的优先级可以使用软件控制,还可以记录发送的时间;具有2个3级深度的接收FIFO,可使用过滤能只接收或不接收某些ID号的报文;可配置成自动重发,不支持使用DMA进行数据收发。

CAN—通讯实验_第10张图片

CAN控制内核   CAN发送邮箱   CAN接收FIFO   验收筛选器     整体控制逻辑 

STM32的有两组CAN控制器,其中CAN1是主设备,框图中的“存储访问控制器”是由CAN1控制的,CAN2无法直接访问存储区域,所以使用CAN2的时候必须使能CAN1外设的时钟

2.1 CAN控制内核

        框图中标号①处的CAN控制内核包含了各种控制寄存器及状态寄存器,我们主要讲解其中的主控制寄存器CAN_MCR及位时序寄存器CAN_BTR。

主控制寄存器CAN_MCR负责管理CAN的工作模式,它使用以下寄存器位实现控制。

  • DBF调试冻结功能 

DBF(Debug freeze)调试冻结,使用它可设置CAN处于工作状态或禁止收发的状态,禁止收发时仍可访问接收FIFO中的数据。这两种状态是当STM32芯片处于程序调试模式时才使用的,平时使用并不影响。

  • TTCM时间触发模式

  TTCM(Time triggered communication mode)时间触发模式,它用于配置CAN的时间触发通信模式,在此模式下,CAN使用它内部定时器产生时间戳,并把它保存在CAN_RDTxRCAN_TDTxR寄存器中。内部定时器在每个CAN位时间累加,在接收和发送的帧起始位被采样,并生成时间戳。利用它可以实现ISO 11898-4 CAN标准的分时同步通信功能。(这个也不太使用)

  • ABOM自动离线管理

     ABOM(Automatic bus-off management) 自动离线管理,它用于设置是否使用自动离线管理功         能。当节点检测到它发送错误或接收错误超过一定值时,会自动进入离线状态,在离线状态           中,CAN不能接收或发送报文。处于离线状态的时候,可以软件控制恢复或者直接使用这个自       动离线管理功能,它会在适当的时候自动恢复。

  • AWUM自动唤醒

  AWUM(Automatic bus-off management),自动唤醒功能,CAN外设可以使用软件进入低功耗的睡眠模式,如果使能了这个自动唤醒功能,当CAN检测到总线活动的时候,会自动唤醒。

  • NART自动重传

       NART(No automatic retransmission)报文自动重传功能,设置这个功能后,当报文发送失败           时 会自动重传至成功为止。若不使用这个功能,无论发送结果如何,消息只发送一次。

  • RFLM锁定模式

  RFLM(Receive FIFO locked mode)FIFO锁定模式,该功能用于锁定接收FIFO。锁定后,当接收FIFO溢出时,会丢弃下一个接收的报文。若不锁定,则下一个接收到的报文会覆盖原报文。

  • TXFP报文发送优先级的判定方法

      TXFP(Transmit FIFO priority)报文发送优先级的判定方法,当CAN外设的发送邮箱中有多个待         发送报文时,本功能可以控制它是根据报文的ID优先级还是报文存进邮箱的顺序来发送。

2.2.1 工作模式

为方便调试,STM32的CAN提供了测试模式,配置位时序寄存器CAN_BTRSILMLBKM寄存器位可以控制使用正常模式、静默模式、回环模式及静默回环模式。

CAN—通讯实验_第11张图片

正常模式:

正常模式下就是一个正常的CAN节点,可以向总线发送和接收数据。

静默模式:

静默模式下,它自己的输出端的逻辑0数据会直接传输到它自己的输入断,逻辑1可以被发送到总线,所有它不能向总线发送显性位(逻辑0),只能发送隐形位(逻辑1)。输入端可以从总线接收内容。由于它只可发送的隐形位不会强制影响总线的状态,所以把它称为静默模式,这种模式一般用于监测,它可以用于分析总线上的流量,但又不会因为发送显性位而影响总线。

回环模式:

回环模式下,它自己的输出端的所有内容都直接传输到自己的输入端,输出端的内容同时也会被传输到总线上,即也可使用总线监测它发送的内容。输入端只接收自己发送端的内容,不接收来自总线上的内容。

回环静默模式

回环静默模式是以上两种模式的结合,自己的输出端的所有内容都直接传输到自己的输入端,并且不会向总线发送显性位影响总线,不能通过总线监测它的发送内容。输入端只接收自己发送端的内容,不接收来自总线上的内容。这种方式可以在“热自检”时使用,即自我检查的时候,不会干扰总线。

2.2.2 位时序及波特率

STM32外设定义的位时序与前面解释的CAN标准时序有一点区别

CAN—通讯实验_第12张图片

STM32CAN外设位时序中只包含3段,分别是同步段SYNC_SEG、位段BS1及位段BS2,采样点位于BS1BS2段的交界处。其中SYNC_SEG段固定长度为1Tq,而BS1BS2段可以在位时序寄存器CAN_BTR设置它们的时间长度,它们可以在重新同步期间增长或缩短,该长度SJW也可在位时序寄存器中配置。

 理解STM32CAN外设的位时序时,可以把它的BS1段理解为是由CAN标准协议中PTS段与PBS1段合在一起的,而BS2段就相当于PBS2段。

通过配置位时序寄存器CAN_BTRTS1[3:0]TS2[2:0]寄存器位设定BS1BS2段的长度后,就可以确定每个CAN数据位的时间:

        BS1段时间:TS1=Tq x (TS1[3:0] + 1)

        BS2段时间: TS2= Tq x (TS2[2:0] + 1)

一个数据位的时间:T1bit =1Tq+TS1+TS2 =1+ (TS1[3:0] + 1)+ (TS2[2:0] + 1)= N Tq

其中单个时间片的长度TqCAN外设的所挂载的时钟总线及分频器配置有关,CAN1CAN2外设都是挂载在APB1总线上的,而位时序寄存器CAN_BTR中的BRP[9:0]寄存器位可以设置CAN外设时钟的分频值 ,所以:

          Tq = (BRP[9:0]+1) x TPCLK  

其中的PCLKAPB1时钟,默认值为36MHz

        最终可以计算出CAN通讯的波特率:BaudRate = 1/N Tq 

一种把波特率配置为1Mbps的方式:

参数

说明

SYNC_SE

固定为1Tq

BS1

设置为5Tq (实际写入TS1[3:0]的值为4)

BS2

设置为3Tq (实际写入TS2[2:0]的值为2)

TPCLK

APB1按默认配置为F=36MHzTPCLK=1/36M

CAN外设时钟分频

设置为4分频(实际写入BRP[9:0]的值为3)

1Tq时间长度

Tq = (BRP[9:0]+1) x TPCLK    =   4 x 1/36M=1/9M

1位的时间长度

T1bit =1Tq+TS1+TS2    =   1+5+3 = 9Tq

波特率

BaudRate = 1/N Tq  =  1/(1/9M  x 9)=1Mbps

2.2 CAN发送邮箱

CAN外设一共有3个发送邮箱,即最多可以缓存3个待发送的报文。

每个发送邮箱中包含标识寄存器CAN_TIxR、数据长度控制寄存器CAN_TDTxR以及两个数据寄存器CAN_TDLxR、CAN_TDHxR,它们的功能如下:

寄存器名

功能

标识符寄存器CAN_TIxR

存储待发送报文的ID、扩展IDIDE位及RTR

数据长度控制寄存器CAN_TDTxR

存储待发送报文的DLC

低位数据寄存器CAN_TDLxR

存储待发送报文数据段的Data0-Data3这四个字节的内容

高位数据寄存器CAN_TDHxR

存储待发送报文数据段的Data4-Data7这四个字节的内容

当使用CAN外设发送报文时,把报文的各个段分解,按位置写入到这些寄存器中,并对标识符寄存器CAN_TIxR中的发送请求寄存器位TMIDxR_TXRQ置1,即可把数据发送出去。

其中标识符寄存器CAN_TIxR中的STDID寄存器位比较特别。CAN的标准标识符的总位数为11位,而扩展标识符的总位数为29位的。当报文使用扩展标识符的时候,标识符寄存器CAN_TIxR中的STDID[10:0]等效于EXTID[18:28]位,它与EXTID[17:0]共同组成完整的29位扩展标识符。

2.3 CAN接收FIFO

CAN外设一共有2个接收FIFO,每个FIFO中有3个邮箱,即最多可以缓存6个接收到的报文。当接收到报文时,FIFO的报文计数器会自增, 而STM32内部读取FIFO数据之后,报文计数器会自减,通过状态寄存器可获知报文计数器的值,而通过前面主控制寄存器的RFLM位,可设置锁定模式,锁定模式下FIFO溢出时会丢弃新报文,非锁定模式下FIFO溢出时新报文会覆盖旧报文。

跟发送邮箱类似,每个接收FIFO中包含有标识符寄存器CAN_RIxR、数据长度控制寄存器CAN_RDTxR2个数据寄存器CAN_RDLxRCAN_RDHxR,其功能如下:

寄存器名

功能

标识符寄存器CAN_RIxR

存储收到报文的ID、扩展IDIDE位及RTR

数据长度控制寄存器CAN_RDTxR

存储收到报文的DLC

低位数据寄存器CAN_RDLxR

存储收到报文数据段的Data0-Data3这四个字节的内容

高位数据寄存器CAN_RDHxR

存储收到报文数据段的Data4-Data7这四个字节的内容

2.4 验收筛选器

CAN外设的验收筛选器,一共有28个筛选器组,每个筛选器组有2个寄存器,CAN1CAN2共用的筛选器的。(CAN 过滤器组i的寄存器x (CAN_FiRx) (互联产品中i=0..27,其它产品中i=0..13;x=1..2   105 18组   103   14组)

在CAN协议中,消息的标识符与节点地址无关,但与消息内容有关。因此,发送节点将报文广播给所有接收器时,接收节点会根据报文标识符的值来确定软件是否需要该消息,为了简化软件的工作,STM32的CAN外设接收报文前会先使用验收筛选器检查,只接收需要的报文到FIFO中。

筛选器工作的时候,可以调整筛选ID的长度以及过滤模式。

根据筛选ID的长度来分类有以下两种:

  • 检查 STDID[10:0]EXTID[17:0]IDE RTR 位,一共31位。
  • 检查STDID[10:0]RTRIDE EXTID[17:15],一共16位。

而根据过滤的方法分为以下两种模式:

标识符列表模式:它把要接收报文的ID列成一个表,要求报文ID与列表中的某一个标识符完全相同才可以接收,可以理解为白名单管理。

掩码模式:它把可接收报文ID的某几位作为列表,这几位被称为掩码。可以把它理解为关键字,只要掩码(关键字)相同,就符号要求,报文就会被保存到接收FIFO。

CAN—通讯实验_第13张图片

通过配置筛选尺度寄存器CAN_FS1RFSCx位可以设置筛选器工作在哪个尺度。

通过配置筛选模式寄存器CAN_FM1RFBMx位可以设置筛选器工作在哪个模式。

每组筛选器包含232位的寄存器,分别为CAN_FxR1CAN_FxR2,它们用来存储要筛选的ID或掩码,各个寄存器位代表的意义与图中两个寄存器下面“映射”的一栏一致,各个模式的说明如下:

模式

说明

32位掩码模式

CAN_FxR1存储IDCAN_FxR2存储哪个位必须要与CAN_FxR1中的ID一致,

2个寄存器表示1组掩码。

32位标识符模式

CAN_FxR1CAN_FxR2各存储1ID2个寄存器表示2个筛选的ID

16位掩码模式

CAN_FxR116位存储ID,低16位存储哪个位必须要与高16位的ID一致;

CAN_FxR216位存储ID,低16位存储哪个位必须要与高16位的ID一致

2个寄存器表示2组掩码。

16位标识符模式

CAN_FxR1CAN_FxR2各存储2ID2个寄存器表示4个筛选的ID

筛选器设置举例: 

ID

1

0

1

1

1

0

1

掩码

1

1

1

0

0

1

0

筛选的ID

1

0

1

          x

          x

0

          x

 如在掩码模式时,第一个寄存器存储要筛选的ID,第二个寄存器存储掩码,掩码为1的部分表示该位必须与ID中的内容一致,筛选的结果为表中第三行的ID值,它是一组包含多个的ID值,其中x表示该位可以为1可以为0

工作在标识符模式时,2个寄存器存储的都是要筛选的ID,它只包含2个要筛选的ID(32位模式时) 

如果使能了筛选器,且报文的ID与所有筛选器的配置都不匹配,CAN外设会丢弃该报文,不存入接收FIFO

2.5 整体控制逻辑

CAN2外设的结构CAN1外设是一样的,们共用筛选器且由于存储访问控制器由CAN1控制,所以要使用CAN2的时候必须要使能CAN1的时钟。

三、CAN结构体

STM32CAN外设我们了解到它的功能非常多,控制涉及的寄存器也非常丰富,而使用STM32标准库提供的各种结构体及库函数可以简化这些控制过程。跟其它外设一样,STM32标准库提供了CAN初始化结构体及初始化函数来控制CAN的工作方式,提供了收发报文使用的结构体及收发函数,还有配置控制筛选器模式及ID的结构体。

  • 初始化结构体:CAN_InitTypeDef
  • 发送及接收结构体:CanTxMsgCanRxMsg
  • 筛选器结构体:CAN_FilterInitTypeDef

2.1 CAN初始化结构体 

CAN—通讯实验_第14张图片配置完这些结构体成员后,调用库函数CAN_Init即可把这些参数写入到CAN控制寄存器中,实现CAN的初始化。 

CAN_Prescaler

本成员设置CAN外设的时钟分频,它可控制时间片Tq的时间长度,这里设置的值最终会减1后再写入BRP寄存器位,即前面介绍的Tq计算公式: Tq = (BRP[9:0]+1) x TPCLK   

等效于:Tq = CAN_Prescaler  x TPCLK 

CAN_Mode

本成员设置CAN的工作模式,可设置为正常模式(CAN_Mode_Normal)、回环模式(CAN_Mode_LoopBack)、静默模式(CAN_Mode_Silent)以及回环静默模式(CAN_Mode_Silent_LoopBack)

CAN_SJW

本成员可以配置SJW的极限长度,即CAN重新同步时单次可增加或缩短的最大长度,它可以被配置为1-4Tq(CAN_SJW_1/2/3/4tq)

CAN_BS1

本成员用于设置CAN位时序中的BS1段的长度,它可以被配置为1-16Tq长度(CAN_BS1_1/2/3…16tq)

CAN_BS2

本成员用于设置CAN位时序中的BS2段的长度,它可以被配置为1-8Tq长度(CAN_BS2_1/2/3…8tq)

SYNC_SEGBS1段及BS2段的长度加起来即一个数据位的长度,即前面介绍的原来计算公式:

  T1bit =1Tq+TS1+TS2 =1+ (TS1[3:0] + 1)+ (TS2[2:0] + 1)

  等效于:T1bit = 1Tq+CAN_BS1+CAN_BS2

CAN_TTCM

本成员用于设置是否使用时间触发功能(ENABLE/DISABLE),时间触发功能在某些CAN标准中会使用到。

CAN_ABOM

本成员用于设置是否使用自动离线管理(ENABLE/DISABLE),使用自动离线管理可以在节点出错离线后适时自动恢复,不需要软件干预。

 •CAN_ AWUM

本成员用于设置是否使用自动唤醒功能(ENABLE/DISABLE),使能自动唤醒功能后它会在监测到总线活动后自动唤醒。

CAN_NART

本成员用于设置是否使用自动重传功能(ENABLE/DISABLE),使用自动重传功能时,会一直发送报文直到成功为止。

CAN_RFLM

本成员用于设置是否使用锁定接收FIFO(ENABLE/DISABLE),锁定接收FIFO后,若FIFO溢出时会丢弃新数据,否则在FIFO溢出时以新数据覆盖旧数据。

CAN_TXFP

本成员用于设置发送报文的优先级判定方法(ENABLE/DISABLE),使能时,以报文存入发送邮箱的先后顺序来发送,否则按照报文ID的优先级来发送。

2.2 CAN发送及接收结构体

CAN—通讯实验_第15张图片

在发送或接收报文时,需要往发送邮箱中写入报文信息或从接收FIFO中读取报文信息,利用STM32标准库的发送及接收结构体可以方便地完成这样的工作 

CAN—通讯实验_第16张图片StdId

本成员存储的是报文的11位标准标识符,范围是0-0x7FF

ExtId

本成员存储的是报文的29位扩展标识符,范围是0-0x1FFFFFFFExtIdStdId这两个成员根据下面的IDE位配置,只有一个是有效的。

IDE

本成员存储的是扩展标志IDE位,当它的值为宏CAN_ID_STD时表示本报文是标准帧,使用StdId成员存储报文ID;当它的值为宏CAN_ID_EXT时表示本报文是扩展帧,使用ExtId成员存储报文ID

RTR

本成员存储的是报文类型标志RTR位,当它的值为宏CAN_RTR_Data时表示本报文是数据帧;当它的值为宏CAN_RTR_Remote时表示本报文是遥控帧,由于遥控帧没有数据段,所以当报文是遥控帧时,下面的Data[8]成员的内容是无效的。

DLC

本成员存储的是数据帧数据段的长度,它的值的范围是0-8,当报文是遥控帧时DLC值为0

Data[8]

本成员存储的就是数据帧中数据段的数据。

CAN—通讯实验_第17张图片

FMI

本成员只存在于接收结构体,它存储了筛选器的编号,表示本报文是经过哪个筛选器存储进接收FIFO的,可以用它简化软件处理。

当需要使用CAN发送报文时,先定义一个上面发送类型的结构体,然后把报文的内容按成员赋值到该结构体中,,最后调用库函数CAN_Transmit把这些内容写入到发送邮箱即可把报文发送出去。

接收报文时,通过检测标志位获知接收FIFO的状态,若收到报文,可调用库函数CAN_Receive把接收FIFO中的内容读取到预先定义的接收类型结构体中,然后再访问该结构体即可利用报文

2.3 CAN筛选器结构体 

CAN—通讯实验_第18张图片

CAN_FilterIdHigh

CAN_FilterIdHigh成员用于存储要筛选的ID,若筛选器工作在32位模式,它存储的是所筛选ID的高16位;若筛选器工作在16位模式,它存储的就是一个完整的要筛选的ID

CAN_FilterIdLow

类似地,CAN_FilterIdLow成员也是用于存储要筛选的ID,若筛选器工作在32位模式,它存储的是所筛选ID的低16位;若筛选器工作在16位模式,它存储的就是一个完整的要筛选的ID

CAN_FilterMaskIdHigh

CAN_FilterMaskIdHigh存储的内容分两种情况,当筛选器工作在标识符列表模式时,它的功能与CAN_FilterIdHigh相同,都是存储要筛选的ID;而当筛选器工作在掩码模式时,它存储的是CAN_FilterIdHigh成员对应的掩码,与CAN_FilterIdLow组成一组筛选器。

CAN_FilterMaskIdLow

类似地,CAN_FilterMaskIdLow存储的内容也分两种情况,当筛选器工作在标识符列表模式时,它的功能与CAN_FilterIdLow相同,都是存储要筛选的ID;而当筛选器工作在掩码模式时,它存储的是CAN_FilterIdLow成员对应的掩码,与CAN_FilterIdLow组成一组筛选器。

不同模式下各结构体成员的内容

CAN—通讯实验_第19张图片

对这些结构体成员赋值的时候,还要注意寄存器位的映射,即注意哪部分代表STID,哪部分代表EXID 以及IDE、RTR 位。 

CAN_FilterFIFOAssignment

本成员用于设置当报文通过筛选器的匹配后,该报文会被存储到哪一个接收FIFO,它的可选值为FIFO0FIFO1(CAN_Filter_FIFO0/1)

CAN_FilterNumber

本成员用于设置筛选器的编号,即本过滤器结构体配置的是哪一组筛选器,CAN一共有28个筛选器,所以它的可输入参数范围为0-27

CAN_FilterMode

本成员用于设置筛选器的工作模式,可以设置为列表模式(CAN_FilterMode_IdList)及掩码模式(CAN_FilterMode_IdMask)

CAN_FilterScale

本成员用于设置筛选器的尺度,可以设置为32位长(CAN_FilterScale_32bit)16位长(CAN_FilterScale_16bit)

CAN_FilterActivation

本成员用于设置是否激活这个筛选器(ENABLE/DISABLE)

四、CAN—通讯实验

      can回环测试

4.1 硬件设计

CAN—通讯实验_第20张图片

 

4.2 软件设计

编程要点

  1. 初始化CAN 通讯使用的目标引脚及端口时钟;
  2. 使能CAN外设的时钟
  3. 配置CAN外设的工作模式、位时序以及波特率
  4. 配置筛选器的工作方式
  5. 编写测试程序,收发报文并校验。
4.2.2 bsp_can.h
#ifndef __BSP_CAN_H
#define __BSP_CAN_H

#include "stm32f10x.h"
#include 

#define CAN_RX_PIN                 GPIO_Pin_8
#define CAN_TX_PIN                 GPIO_Pin_9
#define CAN_TX_GPIO_PORT           GPIOB
#define CAN_RX_GPIO_PORT           GPIOB
#define CAN_TX_GPIO_CLK            (RCC_APB2Periph_AFIO|RCC_APB2Periph_GPIOB)
#define CAN_RX_GPIO_CLK            RCC_APB2Periph_GPIOB


#define CANx                        CAN1
#define CAN_CLK                     RCC_APB1Periph_CAN1
#define CAN_RX_IRQ									USB_LP_CAN1_RX0_IRQn
#define CAN_RX_IRQHandler					  USB_LP_CAN1_RX0_IRQHandler


/*debug*/

#define CAN_DEBUG_ON          1
#define CAN_DEBUG_ARRAY_ON    1
#define CAN_DEBUG_FUNC_ON     1


// Log define
#define CAN_INFO(fmt,arg...)           printf("<<-CAN-INFO->> "fmt"\n",##arg)
#define CAN_ERROR(fmt,arg...)          printf("<<-CAN-ERROR->> "fmt"\n",##arg)
#define CAN_DEBUG(fmt,arg...)          do{\
                                         if(CAN_DEBUG_ON)\
                                         printf("<<-CAN-DEBUG->> [%d]"fmt"\n",__LINE__, ##arg);\
                                       }while(0)

#define CAN_DEBUG_ARRAY(array, num)    do{\
                                         int32_t i;\
                                         uint8_t* a = array;\
                                         if(CAN_DEBUG_ARRAY_ON)\
                                         {\
                                            printf("<<-CAN-DEBUG-ARRAY->>\n");\
                                            for (i = 0; i < (num); i++)\
                                            {\
                                                printf("%02x   ", (a)[i]);\
                                                if ((i + 1 ) %10 == 0)\
                                                {\
                                                    printf("\n");\
                                                }\
                                            }\
                                            printf("\n");\
                                        }\
                                       }while(0)

#define CAN_DEBUG_FUNC()               do{\
                                         if(CAN_DEBUG_FUNC_ON)\
                                         printf("<<-CAN-FUNC->> Func:%s@Line:%d\n",__func__,__LINE__);\
                                       }while(0)





void CAN_Config(void);
void CAN_SetMsg(CanTxMsg *TxMessage);
void Init_RxMes(CanRxMsg *RxMessage);

#endif /* __BSP_CAN */

4.2.3 bsp_can.c
 /**
  ******************************************************************************
  * @file    bsp_xxx.c
  * @author  STMicroelectronics
  * @version V1.0
  * @date    2013-xx-xx
  * @brief   
  ******************************************************************************
  * @attention
  *
  * 实验平台:野火 F103-霸道 STM32 开发板 
  * 论坛    :http://www.firebbs.cn
  * 淘宝    :https://fire-stm32.taobao.com
  *
  ******************************************************************************
  */
  
#include "./can/bsp_can.h"

//初始化CAN 通讯使用的目标引脚及端口时钟;
//使能CAN外设的时钟
//1.初始化CAN外设的工作模式、位时序以及波特率
//2.配置筛选器,方便接收数据
//3.发送数据,并接收,使用回环模式测试
//编写测试程序,收发报文并校验。

static void CAN_GPIO_Config(void)
{
 
  GPIO_InitTypeDef GPIO_InitStructure;
	
	 /* Enable GPIO clock */
  RCC_APB2PeriphClockCmd(CAN_TX_GPIO_CLK|CAN_RX_GPIO_CLK, ENABLE);
		//重映射引脚
	GPIO_PinRemapConfig(GPIO_Remap1_CAN1, ENABLE);
	
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;		         // 复用推挽输出
	GPIO_InitStructure.GPIO_Pin = CAN_TX_PIN;
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_Init(CAN_TX_GPIO_PORT,&GPIO_InitStructure);
	
	
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;	             // 上拉输入;
	GPIO_InitStructure.GPIO_Pin = CAN_RX_PIN;
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_Init(CAN_RX_GPIO_PORT,&GPIO_InitStructure);
	
}

static void CAN_NVIC_Config(void)
{
	NVIC_InitTypeDef NVIC_InitStructure;
	/* Configure one bit for preemption priority */
	
	NVIC_PriorityGroupConfig(NVIC_PriorityGroup_0);
	NVIC_InitStructure.NVIC_IRQChannel = USB_LP_CAN1_RX0_IRQn;
	NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1;
	NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;
	NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;
	
	NVIC_Init(&NVIC_InitStructure);
}

static void CAN_MODE_Config(void)
{
	CAN_InitTypeDef CAN_InitStructure;
		/************************CAN通信参数设置**********************************/
	/* Enable CAN clock */
  RCC_APB1PeriphClockCmd(CAN_CLK, ENABLE);
	
	
	/*CAN单元初始化*/
	CAN_InitStructure.CAN_ABOM=ENABLE;			         //MCR-ABOM  自动离线管理 
	CAN_InitStructure.CAN_AWUM=ENABLE;			         //MCR-AWUM  使用自动唤醒模式
	
	CAN_InitStructure.CAN_TTCM=DISABLE;			         //MCR-TTCM  关闭时间触发通信模式使能
	CAN_InitStructure.CAN_NART=DISABLE;			  			 //MCR-NART  禁止报文自动重传	  DISABLE-自动重传
	CAN_InitStructure.CAN_RFLM=DISABLE;			   			 //MCR-RFLM  接收FIFO 锁定模式  DISABLE-溢出时新报文会覆盖原有报文  
	CAN_InitStructure.CAN_TXFP=DISABLE;			   			 //MCR-TXFP  发送FIFO优先级 DISABLE-优先级取决于报文标示符  按报文优先级发送
	CAN_InitStructure.CAN_Mode = CAN_Mode_LoopBack;  //回环工作模式 调试时建议使用回环模式,调试完再改成Normal
	
	//波特率配置成1 Mbps
	CAN_InitStructure.CAN_SJW=CAN_SJW_2tq;		       //BTR-SJW 重新同步跳跃宽度 1个时间单元
	/* ss=1 bs1=5 bs2=3 位时间宽度为(1+5+3) 波特率即为时钟周期tq*(1+3+5)  */
	CAN_InitStructure.CAN_BS1=CAN_BS1_5tq;		   //BTR-TS1 时间段1 占用了5个时间单元
	CAN_InitStructure.CAN_BS2=CAN_BS2_3tq;		   //BTR-TS1 时间段2 占用了3个时间单元	
	
		/* CAN Baudrate = 1 MBps (1MBps已为stm32的CAN最高速率) (CAN 时钟频率为 APB1 = 36 MHz) */
	CAN_InitStructure.CAN_Prescaler =4;		   BTR-BRP 波特率分频器  定义了时间单元的时间长度 36/(1+5+3)/4=1 Mbps
	
	CAN_Init(CANx, &CAN_InitStructure);

}
 

static void CAN_Filter_Config(void)
{
	CAN_FilterInitTypeDef CAN_FilterInitStructure;
	
	CAN_FilterInitStructure.CAN_FilterActivation = ENABLE;//是否激活这个筛选器
	CAN_FilterInitStructure.CAN_FilterFIFOAssignment = CAN_Filter_FIFO0;				//筛选器被关联到FIFO0
	CAN_FilterInitStructure.CAN_FilterIdHigh = ((((u32)0x1314<<3)|CAN_ID_EXT|CAN_RTR_DATA)&0xFFFF0000)>>16;		//要筛选的ID高位 
	CAN_FilterInitStructure.CAN_FilterIdLow = (((u32)0x1314<<3)|CAN_ID_EXT|CAN_RTR_DATA)&0xFFFF; //要筛选的ID低位 
	CAN_FilterInitStructure.CAN_FilterMaskIdHigh = 0xFFFF;
	CAN_FilterInitStructure.CAN_FilterMaskIdLow = 0xFFFF;
	CAN_FilterInitStructure.CAN_FilterMode = CAN_FilterMode_IdMask;//设置筛选器的工作模式--掩码模式
	CAN_FilterInitStructure.CAN_FilterNumber = 0;//设置筛选器的编号,即本过滤器结构体配置的是哪一组筛选器
	CAN_FilterInitStructure.CAN_FilterScale = CAN_FilterScale_32bit;
	
	CAN_FilterInit(&CAN_FilterInitStructure);
	/*CAN通信中断使能*/
	CAN_ITConfig(CANx, CAN_IT_FMP0, ENABLE);

}

void CAN_Config(void)
{
	CAN_GPIO_Config();
	CAN_NVIC_Config();
	CAN_MODE_Config();
	CAN_Filter_Config();
}
   
/**
  * @brief  初始化 Rx Message数据结构体
  * @param  RxMessage: 指向要初始化的数据结构体
  * @retval None
  */
void Init_RxMes(CanRxMsg *RxMessage)
{
	uint8_t ubCounter = 0;
	
	//把接收结构体清0
	RxMessage->ExtId = 0x00;//报文的11位标准标识符,范围是0-0x7FF
	RxMessage->StdId = 0x00;//是报文的29位扩展标识符,范围是0-0x1FFFFFFF ExtId与StdId这两个成员根据下面的IDE位配置,只有一个是有效的
	RxMessage->IDE = CAN_ID_STD;//当它的值为宏CAN_ID_STD时表示本报文是标准帧
	RxMessage->DLC = 0;
	RxMessage->FMI = 0;
	
	for(ubCounter = 0;ubCounter < 8;ubCounter++)
	{
		RxMessage->Data[ubCounter] = 0x00;
	}

}

/*
 * 函数名:CAN_SetMsg
 * 描述  :CAN通信报文内容设置,设置一个数据内容为0-7的数据包
 * 输入  :发送报文结构体
 * 输出  : 无
 * 调用  :外部调用
 */	 
void CAN_SetMsg(CanTxMsg *TxMessage)
{
	uint8_t ubCounter = 0;
	TxMessage->ExtId = 0x1314;
	//TxMessage->StdId = 0x00;
	TxMessage->IDE = CAN_ID_EXT;					 //扩展模式
	TxMessage->RTR = CAN_RTR_Data;			//发送的报文是数据帧
	TxMessage->DLC = 8;							//数据长度是8位
	
	/*设置要发送的数据0-7*/
	for(ubCounter = 0;ubCounter < 8;ubCounter++)
	{
		TxMessage->Data[ubCounter] = ubCounter;
	}
	
}

/*********************************************END OF FILE**********************/
4.2.4 stm32f10x.it
/**
  * @brief  This function handles SysTick Handler.
  * @param  None
  * @retval None
  */
void SysTick_Handler(void)
{
}

void USB_LP_CAN1_RX0_IRQHandler()
{

	CAN_Receive(CANx,CAN_FIFO0,&RxMessage);
	if((RxMessage.ExtId == 0x1314)&& (RxMessage.IDE ==CAN_ID_EXT)&&(RxMessage.DLC == 8))
	{
		 flag = 1; 					       //接收成功  
	
	}else{
		 flag = 0;									//接收失败
	}

}
4.2.5 main.c
 ******************************************************************************
  */ 
#include "stm32f10x.h"
#include "./usart/bsp_usart.h"
#include "./led/bsp_led.h"
#include "./can/bsp_can.h"
#include "./key/bsp_key.h"


__IO uint32_t flag = 0;		 //用于标志是否接收到数据,在中断函数中赋值
CanTxMsg TxMessage;			     //发送缓冲区
CanRxMsg RxMessage;				 //接收缓冲区


/*
 * 函数名:main
 * 描述  :主函数
 * 输入  :无
 * 输出  :无
 */
int main(void)
{ 

	uint8_t Mailbox = 0;
	
	LED_GPIO_Config();
	
	/* 配置串口为:115200 8-N-1 */
	USART_Config();
	
	/*初始化按键*/
	Key_GPIO_Config();
	
	CAN_Config();
	
	printf("\r\n CAN通讯回环实验例程 \r\n");
	
	printf("\r\n 实验步骤:\r\n");

	printf("\r\n 1.使用回环模式,不需要连接外部芯片\r\n");
	printf("\r\n 2.按下开发板的KEY1键,会使用CAN向外发送0-7的数据包,包的扩展ID为0x1314 (由于回环模式,是自己发送给自己)\r\n");
	printf("\r\n 3.若开发板的CAN接收到扩展ID为0x1314的数据包,会把数据以打印到串口。 \r\n");
	printf("\r\n 4.本例中的can波特率为1MBps,为stm32的can最高速率。 \r\n");
	while(1)
	{
		/*按一次按键发送一次数据*/
		if(	Key_Scan(KEY1_GPIO_PORT,KEY1_GPIO_PIN) == KEY_ON)
		{
			LED_BLUE;
			CAN_SetMsg(&TxMessage);
			Mailbox = CAN_Transmit(CANx, &TxMessage);
			while(CAN_TxStatus_Failed  == CAN_TransmitStatus(CANx, Mailbox))
				;

			LED_GREEN;

			printf("\r\n已使用CAN发送数据包!\r\n"); 			
			printf("\r\n发送的报文内容为:\r\n");
			printf("\r\n 扩展ID号ExtId:0x%x \r\n",TxMessage.ExtId);
			CAN_DEBUG_ARRAY(TxMessage.Data,8); 
		}
		if(flag==1)
		{		
			LED_GREEN;
			printf("\r\nCAN接收到数据:\r\n");	

			CAN_DEBUG_ARRAY(RxMessage.Data,8); 
			
			flag=0;
		}
			

	}
}


/*********************************************END OF FILE**********************/
4.2.6 实验结果

CAN—通讯实验_第21张图片

 

你可能感兴趣的:(嵌入式软件学习总结,嵌入式软件,stm32,单片机,嵌入式硬件)