USER_CROSSING_SLR

在堆叠硅互连(SSI)设备上放置设计元素时,您可以使用
要管理的USER_SLR_ASSIGNMENT、USER_CROSSING_SLR和USER_SLL_REG属性
逻辑分区和Vivado放置工具的行为。SSI设备由以下部分组成
多个超逻辑区域(SLR),由称为超长线的插入器连接连接连接起来
(SLL)。有关在单反相机中放置和布线的更多信息,请参阅中的此链接
Vivado设计套件的超快设计方法指南(UG949)[参考24]。
USER_CROSSING_SLR是一个布尔属性,表示允许网络穿过
SLR边界,或者网络不应越过SLR边界。约束可以是
应用于网或针。如果USER_CROSSING_SLR设置为1,则网络可以穿过
SLR边界通过SLL通道。当设置为0时,网不应穿过SLR
边界。

重要提示:值0可用于任何引脚或网段,以指示网络不应交叉
边界。值1只能应用于单个扇出管道寄存器连接。
要管理SLR之间的放置,请从USER_SLR_ASSIGNMENT开始,将逻辑分配给
SLR或组,添加USER_CROSSING_SLR以控制逻辑中的哪个网段交叉
SLR边界。如果需要,添加USER_SLL_REG。
USER_CROSSING_SLR=1与USER_SLR_ASSIGNMENT没有冲突,因为它是在
平面布置阶段。USER_CROSSING_SLR=0的优先级低于
USER_SLR_分配
USER_CROSSING_SLR的优先级高于USER_SLL_REG。当USER_CROSTING_SLR为
与USER_SLL_REG冲突,后者属性被忽略。
但是,如果具有USER_SLL_REG(true)的寄存器的两个引脚也具有USER_CROSSING_SLR
但Reg/D的源电池和Reg/Q的测压元件放置在同一SLR中,
则应忽略USER_SLL_REG和USER_CROSSING_SLR。

Applicable Objects
• Nets ( get_nets )
• Pins ( get_pins )
Value
• Null (or “”): Indicates that the property is found on the net or pin, but that the property
value has not been set to either TRUE or FALSE, or has been unset.
True (or 1): The net connected to the pin will be routed onto SLL channel if necessary
for placement purposes.
False (or 0): The net connected to the pin will be routed inside an SLR.
Syntax
Verilog and VHDL Syntax
Not applicable
XDC Syntax
set_property USER_CROSSING_SLR [get_nets ]
Where:
is the specified value for the property of NULL, TRUE, or FALSE.
specifies the name of the net to assign the property to.
XDC Example 1:
set_property USER_CROSSING_SLR 0 [get_nets net_A]

你可能感兴趣的:(fpga开发)