Verilog语法学习(2)——调试用系统任务和常用编译预处理语句

  系统任务$monitor

  

  系统函数$time

 

  系统函数$realtime

 

  系统任务$finish

 

  系统任务$stop

 

  系统任务$readmemb和$readmemh

 

  系统任务$random

 

  宏定义 `define

 

  “文件包含”处理 `include

 

  时间尺度`timescale

 

  条件编译命令`ifdef、`else、`endif

 

  条件执行 $test$plusargs

 

 

  

 

  

  

  

你可能感兴趣的:(Verilog)