- [读书日志]从零开始学习Chisel 第一篇:书籍介绍,Scala与Chisel概述,Scala安装运行(敏捷硬件开发语言Chisel与数字系统设计)
JoneMaster
从零开始学ChiselJM读书日志系列开发语言scala后端fpga开发架构嵌入式硬件
简介:从20世纪90年代开始,利用硬件描述语言和综合技术设计实现复杂数字系统的方法已经在集成电路设计领域得到普及。随着集成电路集成度的不断提高,传统硬件描述语言和设计方法的开发效率低下的问题越来越明显。近年来逐渐崭露头角的敏捷化设计方法将把集成电路设计带入一个新的阶段。与此同时,集成电路设计也需要一种适应敏捷化设计方法的新型硬件开发语言。本书从实用性和先进性出发,较全面地介绍新型硬件开发语言Chi
- 在verilog中保留chisel中的注释
斐非韭
chiselfpga开发
HowtodeciphercommentsingeneratedVerilogfromchisel?ThesearesourcelocatorsandwillshowupingeneratedFIRRTLorVerilog.Thesetellyouwhatlineinasourcefile(ChiselorFIRRTL)wasusedtogenerateaspecificlineinthedown
- 蜂鸟E203系列——RISC-V资料
瓜大三哥
欲观原文,请君移步书籍资料先来第一本:小编读完感觉就是大师的书籍(关于硬件底层设计),就算学不会技术,也能提高自己的文学水平了,现在少有的好书了,强烈推荐。(可以去某宝或某东去购买)再来一本:前五章跟《手把手教你设计CPU》类似,对嵌入式感兴趣的人适合阅读。(可以去某宝或某东去购买)除了开发RISC-V指令集,大佬们开发了用于RISC-V处理器设计的Chisel语言(ConstructingHar
- chisel编码初体验
weixin_42330305
scala
本文记录了初次使用chisel编码时遇到的一些问题及解决办法,希望对以后的工作有所帮助。1、内部信号赋值1.1单bit数信号定义单bit使能需要定义为Bool型,在io端口定义如下valpipe_en=Input(Bool())注意:"Bool"后需要加"()"1.2寄存器打拍Chisel中支持的Reg类型有RegNext、RegInit、RegEnable、ShiftRegister,具体的差别
- chisel可选值/模式匹配实例
耐心的小黑
#chisel学习笔记scalachisel可选值模式匹配
一、scala可选值语法可选值就是类型为Option[T]的一个值。其中,Option是标准库里的一个密封抽象类。T可以是任意的类型,例如标准类型或自定义的类。并且T是协变的,简单来说,就是如果类型T是类型U的超类,那么Option[T]也是Option[U]的超类。Option类有一个子类:Some类。通过“Some(x)”可以构造一个Some的对象,其中参数x是一个具体的值。根据x的类型,可选
- Chisel中的几种常见的寄存器
CrazyUncle
ChiselICRegChiselRegNextIC
关于Reg的几种常见形式:一、最基本形式:可用于类型声明Reg:valclock=Node(x._parent.get.clock)时钟跟复位信号在Chisel中是隐藏的。Reg(t,next,init)如果没有显示式声明,那这三个的默认值都是nullt:是寄存器的数据类型next:是数据的输入端,也就是要延迟一拍输出的数据init:复位时候赋给输出的值valB=Reg(UInt(width=3)
- chisel RegInit/UInt/U
斐非韭
fpga开发
valreg=RegInit(0.U(8.W))//okvalreg=RegInit(0.UInt(8.W))//errU使用在数字.后边50.UUInt使用在IO(newBundlevala=Input(UInt(8.W))或者defcounter(max:UInt,a1:UInt)packageemptyimportchisel3._importchisel3.util._classMyCou
- chisel tutorial solution examples
斐非韭
fpga开发
Memo//SeeLICENSE.txtforlicensedetails.packagesolutionsimportchisel3._//Problem:////Implementadualportmemoryof2568-bitwords.//When'wen'isasserted,write'wrData'tomemoryat'wrAddr'//When'ren'isasserted,'r
- 【chisel】 环境,资料
斐非韭
chiselfpga开发
Chisel环境搭建教程(Ubuntu)根据上边的link去安装;目前scala最高版本用scala-2.13.10,太高了没有chisel的库文件支持;会在sbt下载的过程中报错;[error]sbt.librarymanagement.ResolveException:chiselchisel目前的一些状况,问题Chisel,说爱你不容易Chisel相较于verilog有哪些优势?有哪些开源c
- chisel tutorial examples
斐非韭
chiselfpga开发
GCD//SeeLICENSE.txtforlicensedetails.packageexamplesimportchisel3._/***ComputetheGCDof'a'and'b'usingEuclid'salgorithm.*Tostartacomputation,loadthevaluesinto'a'and'b'andtoggle'load'*high.*TheGCDwillber
- chisel之scala 语法
斐非韭
chiselscala数据库开发语言
Chisel新手教程之Scala语言(1)Value&variableValue是immutable的,当它被分配一个数据后,无法进行重新分配。用val表示。Variable是mutable的,可以重复赋值。用var表示。示例如下:vala=2//valuevari=2//variableType所有的Scala数据都有一个类型(type),所有的Scala类型都定义为类(class)。对于语句v
- 【IC设计】Windows下基于IDEA的Chisel环境安装教程(图文并茂)
农民真快落
ic设计ic设计scalachisel一生一芯risc-v
Chisel环境安装教程第一步安装jdk,配置环境变量第二步安装sbt,不用配置环境变量第三步安装idea社区版第四步离线安装scala的idea插件第五步配置sbt换源1.切换目录2.创建repositories文件3.配置sbtconfig.txt文件第六步使用chisel-tutorial工程运行AdderTests测试1.打开chisel-tutorial项目2.配置项目的sbt和scal
- 【程序人生】研二上快结束了~整理最近的思路
农民真快落
程序人生片上网络程序人生
看一下自己的《每日科研进展》群聊,把最近做的事情和后面要做的事情整理一下思路。1月1日到1月7日在整理NoCRouter执行流程和Chisel环境Setup流程:NoCRouter执行流程Chisel安装流程总结:先安装jdk,配置环境变量安装sbt,不用配置环境变量安装idea社区版离线安装scala的idea插件配置sbt换源利用template工程验证helloworld,注意:6.1配置自
- 【HTB】Horizontal(vhost爆破,chisel隧道)
天线锅仔
免责声明本文渗透的主机经过合法授权。本文使用的工具和方法仅限学习交流使用,请不要将文中使用的工具和渗透思路用于任何非法用途,对此产生的一切后果,本人不承担任何责任,也不对造成的任何误用或损害负责。服务探测┌──(rootkali)-[~]└─#nmap-sV-Pn10.10.11.105Hostdiscoverydisabled(-Pn).Alladdresseswillbemarked'up'a
- Chisel入门初步0
铭....
chisel入门chisel
注:以下所有配置在Ubuntu22.04笔记本中运行chisel模板构建复制项目模板文件gitclonehttps://github.com/schoeberl/chisel-examples.git安装vscode插件Metals打开顶层目录,并设置为项目文件夹打开终端输入tree-L3#查看三层目录结构得到如下目录结构(helloworld文件夹示例下的结构)$tree-L3.├──build
- chisel入门初步1——基4的booth编码的单周期有符号乘法器实现
铭....
chisel入门fpga开发
基4的booth编码乘法器原理说明基2的booth编码本质来说就是一个裂项重组,乘法器最重要的设计是改变部分积的数量,另外在考虑有符号数的情况下,最高位符号位有特别的意义。(注:部分积是指需要最后一起加和的所有部分乘积的项)下面直接套用其他人图片进行展示,来源如下https://blog.csdn.net/weixin_42330305/article/details/122868294其中B−1
- 【HTB】ServMon(目录遍历,chisel隧道,普通用户提权至管理员组)
天线锅仔
免责声明本文渗透的主机经过合法授权。本文使用的工具和方法仅限学习交流使用,请不要将文中使用的工具和渗透思路用于任何非法用途,对此产生的一切后果,本人不承担任何责任,也不对造成的任何误用或损害负责。服务探测┌──(rootkali)-[~/htb/ServMon]└─#nmap-sV-Pn10.10.10.184-p-Hostdiscoverydisabled(-Pn).Alladdresseswi
- 18-lldb(下)chisel & 插件
深圳_你要的昵称
前言一、虚拟内存&ASLR在我之前写的文章启动优化解析中,有介绍物理内存和虚拟内存的区别,以及ASLR的概念,现在我们再次总结一下。1.1虚拟内存小结物理内存:你可以这么理解,就是电脑插的内存条,容量就是真实的,是8G就8G,是16G就16G。虚拟内存:物理内存的衍生物。主要解决2大问题内存不够&不安全。高效利用内存空间物理内存中只加载了应用程序需要的几页数据,未用到的不加载。数据安全每个应用只能
- 第一天三大世界古迹
April_yang03
明Andes安第斯山脉Peru秘鲁plaza广场astronomicalobservatory天文观测台retreatfromthehustleandbustleofthecapitalcity与世隔绝sophisticated精密的,繁复的jackhammers/ˈdʒækhæmər/n.手提钻chisels/ˈtʃɪzl/n.口凿;凿子,錾子(chisel的名词复数)colossal/kəˈl
- sysdig_sysdig使用心得
weixin_39830205
sysdig
“strace+tcpdump+lsof+上面点缀着lua樱桃的绝妙酱汁”:)它不仅能分析Linux系统的“现场”状态,也能将该状态保存为转储文件以供离线检查。你可以自定义sysdig的行为,或者甚至通过内建的(你也可以自己编写)名为凿子(chisel)的小脚本增强其功能。单独的凿子可以以脚本指定的各种风格分析sysdig捕获的事件流。安装配置0、官网http://www.sysdig.org/=
- iOS 都要知道的LLDB介绍与Chisel进阶
沃妮马
一、LLDB什么是LLDBLLDB是一个有着REPL的特性和C++,Python插件的开源调试器。Xcode中绑定了LLDB,调试器允许你在程序运行时暂停它,可以查看变量的值,执行自定的指令。在程序里你需要的地方设置断点。当断点断住的时候你就能看到我们进入LLDB调试器了,下面这个界面对于iOS开发者来说应该不陌生了:几个常用命令1.helphelp命令可以查看LLDB的所有命令:它也可以查看某一
- 吃透Chisel语言.24.Chisel时序电路(四)——Chisel内存(Memory)详解
计算机体系结构-3rr0r
吃透Chisel语言!!!Chiselfpga开发同步内存SyncReadMemCPU设计实现
Chisel时序电路(四)——Chisel内存(Memory)详解上一篇文章介绍了移位寄存器的实现和两种常用的移位寄存器,在实现串口通信的时候会很有用,对后面的高速接口设计可能又会有一定启发。在数字设计中,不仅仅有寄存器可以保存电路的状态信号,内存(Memory,或叫作存储器,本文都用内存称呼)也是可以保存状态信息的,在处理器设计中内存还用于程序代码和数据的存放,因此十足重要。这一篇文章我们就一起
- 【Chisel学习】设计n位超前进位加法器生成器(Carry-Lookahead Adder Generator)
冯之烨e
Chiselgithubscalaintellij-idea
Chisel学习——设计n位超前进位加法器生成器(Carry-LookaheadAdderGenerator)文章目录Chisel学习——设计n位超前进位加法器生成器(Carry-LookaheadAdderGenerator)一,项目简介二,理论基础2.1Chisel2.1硬件生成器(HardwareGenerator)2.2常规行波进位加法器(RCA,Ripple-CarryAdder)2.2
- 一生一芯18——Chisel模板与Chisel工程构建
铭....
一生一芯scalaChisel
Chisel模板链接如下:链接:https://pan.baidu.com/s/1DNDKpz5VnTxPgoZBBOd-Ww?pwd=revg提取码:revgChisel转Verilog模板如下:链接:https://pan.baidu.com/s/1T9JQL5BccxqI4bscfU-JyA?pwd=7rw2提取码:7rw2以下使用sbt作为构建工具Chisel项目构建介绍多项目构建的基本概
- 实验七 状态机及键盘输入 chisel
计科小学生233
fpga开发开发语言
题目请设计一个区别两种特定时序的有限状态机FSM:该有限状态机有一个输入w和一个输出z。当w是4个连续的0或4个连续的1时,输出z=1,否则z=0,时序允许重叠。即:若w是连续的5个1时,则在第4个和第5个时钟之后,z均为1。chiselmain.scalaimportchisel3._importchisel3.util._classFSMextendsModule{valio=IO(newBu
- 吃透Chisel语言.12.Chisel项目构建、运行和测试(四)——Chisel测试之ChiselTest
计算机体系结构-3rr0r
吃透Chisel语言!!!Chiselrisc-v计算机体系结构CPU设计实现ChiselTest
Chisel项目构建、运行和测试(四)——Chisel测试之ChiselTest上一篇文章我们介绍了ScalaTest,它是Scala和Java的测试工具。而现在Chisel模块最新的标准测试工具是ChiselTest,它是基于ScalaTest的,允许我们用于Chisel测试。为了使用ChiselTest,我们同样需要在build.sbt里面包含chiseltest的库:libraryDepen
- 吃透Chisel语言.15.Chisel模块详解(二)——Chisel模块嵌套和ALU实现
计算机体系结构-3rr0r
吃透Chisel语言!!!Chiselrisc-vfpga开发计算机体系结构CPU设计实现
Chisel模块详解(二)——Chisel模块嵌套和ALU实现稍微复杂点的硬件设计就需要用嵌套的模块层级来构建了,上一篇文章中实现的计数器其实就是个例子,计数器内部嵌套了一个寄存器、一个Mux和一个加法器。这一篇文章就仔细讲解模块之间是怎么连接到一起的,又是怎么组合成层级的大规模模块的,最后用Chisel实现一个ALU模块来实际应用一下Chisel模块实现的基本方法。Chisel模块的连接和层级嵌
- 吃透Chisel语言.09.Chisel项目构建、运行和测试(一)——用sbt构建Chisel项目并运行
计算机体系结构-3rr0r
吃透Chisel语言!!!Chisel计算机体系结构risc-vCPU设计实现sbt
Chisel项目构建、运行和测试(一)——用sbt构建Chisel项目并运行上一大部分介绍了Chisel的基础语法,但除了教程开始的Demo以外,我们还没有开始写Chisel代码,这对于学习编程语言来说是大忌。不过好在Chisel基础语法部分内容并不算多,眼睛过一遍可能也掌握个大差不差了。但不能总这样,所以这一部分就来讲讲如何开始我们的Chisel项目。如何开始Chisel项目那么构建Chisel
- 吃透Chisel语言.05.Chisel基础(二)——组合电路与运算符
计算机体系结构-3rr0r
吃透Chisel语言!!!risc-vfpga开发Chisel计算机体系结构CPU设计实现
Chisel基础(二)——组合电路与运算符组合逻辑电路从数学的角度来讲,就是用布尔代数的操作符来描述的数字逻辑电路,也就是一系列布尔代数运算符的组合。Chisel中,这些布尔代数的操作符跟C、Java、Scala以及其他编程语言中定义的是类似的,比如,&是按位与操作符,|是按位或操作符。这一部分就详细介绍Chisel中基本的位运算符、算术运算符、逻辑运算符、比较运算符等,以及Chisel中的一个高
- 吃透Chisel语言.07.Chisel基础(四)——Bundle和Vec
计算机体系结构-3rr0r
吃透Chisel语言!!!risc-vChisel计算机体系结构CPU设计实现fpga开发
Chisel基础(四)——Bundle和VecChisel基础的前面三篇我们学习了数据类型、组合电路操作符和寄存器,虽然已经足够实现很复杂的数字电路了,但还是不够方便。比如我需要构建一个32个寄存器的寄存器组,那么我需要写32个RegInit吗?再比如我要将几个信号打包到一起,我又该怎么实现呢?Chisel中提供了两种构造用于给相关的信号分组,他们就是Bundle和Vec,其中:Bundle用于将
- 戴尔笔记本win8系统改装win7系统
sophia天雪
win7戴尔改装系统win8
戴尔win8 系统改装win7 系统详述
第一步:使用U盘制作虚拟光驱:
1)下载安装UltraISO:注册码可以在网上搜索。
2)启动UltraISO,点击“文件”—》“打开”按钮,打开已经准备好的ISO镜像文
- BeanUtils.copyProperties使用笔记
bylijinnan
java
BeanUtils.copyProperties VS PropertyUtils.copyProperties
两者最大的区别是:
BeanUtils.copyProperties会进行类型转换,而PropertyUtils.copyProperties不会。
既然进行了类型转换,那BeanUtils.copyProperties的速度比不上PropertyUtils.copyProp
- MyEclipse中文乱码问题
0624chenhong
MyEclipse
一、设置新建常见文件的默认编码格式,也就是文件保存的格式。
在不对MyEclipse进行设置的时候,默认保存文件的编码,一般跟简体中文操作系统(如windows2000,windowsXP)的编码一致,即GBK。
在简体中文系统下,ANSI 编码代表 GBK编码;在日文操作系统下,ANSI 编码代表 JIS 编码。
Window-->Preferences-->General -
- 发送邮件
不懂事的小屁孩
send email
import org.apache.commons.mail.EmailAttachment;
import org.apache.commons.mail.EmailException;
import org.apache.commons.mail.HtmlEmail;
import org.apache.commons.mail.MultiPartEmail;
- 动画合集
换个号韩国红果果
htmlcss
动画 指一种样式变为另一种样式 keyframes应当始终定义0 100 过程
1 transition 制作鼠标滑过图片时的放大效果
css
.wrap{
width: 340px;height: 340px;
position: absolute;
top: 30%;
left: 20%;
overflow: hidden;
bor
- 网络最常见的攻击方式竟然是SQL注入
蓝儿唯美
sql注入
NTT研究表明,尽管SQL注入(SQLi)型攻击记录详尽且为人熟知,但目前网络应用程序仍然是SQLi攻击的重灾区。
信息安全和风险管理公司NTTCom Security发布的《2015全球智能威胁风险报告》表明,目前黑客攻击网络应用程序方式中最流行的,要数SQLi攻击。报告对去年发生的60亿攻击 行为进行分析,指出SQLi攻击是最常见的网络应用程序攻击方式。全球网络应用程序攻击中,SQLi攻击占
- java笔记2
a-john
java
类的封装:
1,java中,对象就是一个封装体。封装是把对象的属性和服务结合成一个独立的的单位。并尽可能隐藏对象的内部细节(尤其是私有数据)
2,目的:使对象以外的部分不能随意存取对象的内部数据(如属性),从而使软件错误能够局部化,减少差错和排错的难度。
3,简单来说,“隐藏属性、方法或实现细节的过程”称为——封装。
4,封装的特性:
4.1设置
- [Andengine]Error:can't creat bitmap form path “gfx/xxx.xxx”
aijuans
学习Android遇到的错误
最开始遇到这个错误是很早以前了,以前也没注意,只当是一个不理解的bug,因为所有的texture,textureregion都没有问题,但是就是提示错误。
昨天和美工要图片,本来是要背景透明的png格式,可是她却给了我一个jpg的。说明了之后她说没法改,因为没有png这个保存选项。
我就看了一下,和她要了psd的文件,还好我有一点
- 自己写的一个繁体到简体的转换程序
asialee
java转换繁体filter简体
今天调研一个任务,基于java的filter实现繁体到简体的转换,于是写了一个demo,给各位博友奉上,欢迎批评指正。
实现的思路是重载request的调取参数的几个方法,然后做下转换。
- android意图和意图监听器技术
百合不是茶
android显示意图隐式意图意图监听器
Intent是在activity之间传递数据;Intent的传递分为显示传递和隐式传递
显式意图:调用Intent.setComponent() 或 Intent.setClassName() 或 Intent.setClass()方法明确指定了组件名的Intent为显式意图,显式意图明确指定了Intent应该传递给哪个组件。
隐式意图;不指明调用的名称,根据设
- spring3中新增的@value注解
bijian1013
javaspring@Value
在spring 3.0中,可以通过使用@value,对一些如xxx.properties文件中的文件,进行键值对的注入,例子如下:
1.首先在applicationContext.xml中加入:
<beans xmlns="http://www.springframework.
- Jboss启用CXF日志
sunjing
logjbossCXF
1. 在standalone.xml配置文件中添加system-properties:
<system-properties> <property name="org.apache.cxf.logging.enabled" value=&
- 【Hadoop三】Centos7_x86_64部署Hadoop集群之编译Hadoop源代码
bit1129
centos
编译必需的软件
Firebugs3.0.0
Maven3.2.3
Ant
JDK1.7.0_67
protobuf-2.5.0
Hadoop 2.5.2源码包
Firebugs3.0.0
http://sourceforge.jp/projects/sfnet_findbug
- struts2验证框架的使用和扩展
白糖_
框架xmlbeanstruts正则表达式
struts2能够对前台提交的表单数据进行输入有效性校验,通常有两种方式:
1、在Action类中通过validatexx方法验证,这种方式很简单,在此不再赘述;
2、通过编写xx-validation.xml文件执行表单验证,当用户提交表单请求后,struts会优先执行xml文件,如果校验不通过是不会让请求访问指定action的。
本文介绍一下struts2通过xml文件进行校验的方法并说
- 记录-感悟
braveCS
感悟
再翻翻以前写的感悟,有时会发现自己很幼稚,也会让自己找回初心。
2015-1-11 1. 能在工作之余学习感兴趣的东西已经很幸福了;
2. 要改变自己,不能这样一直在原来区域,要突破安全区舒适区,才能提高自己,往好的方面发展;
3. 多反省多思考;要会用工具,而不是变成工具的奴隶;
4. 一天内集中一个定长时间段看最新资讯和偏流式博
- 编程之美-数组中最长递增子序列
bylijinnan
编程之美
import java.util.Arrays;
import java.util.Random;
public class LongestAccendingSubSequence {
/**
* 编程之美 数组中最长递增子序列
* 书上的解法容易理解
* 另一方法书上没有提到的是,可以将数组排序(由小到大)得到新的数组,
* 然后求排序后的数组与原数
- 读书笔记5
chengxuyuancsdn
重复提交struts2的token验证
1、重复提交
2、struts2的token验证
3、用response返回xml时的注意
1、重复提交
(1)应用场景
(1-1)点击提交按钮两次。
(1-2)使用浏览器后退按钮重复之前的操作,导致重复提交表单。
(1-3)刷新页面
(1-4)使用浏览器历史记录重复提交表单。
(1-5)浏览器重复的 HTTP 请求。
(2)解决方法
(2-1)禁掉提交按钮
(2-2)
- [时空与探索]全球联合进行第二次费城实验的可能性
comsci
二次世界大战前后,由爱因斯坦参加的一次在海军舰艇上进行的物理学实验 -费城实验
至今给我们大家留下很多迷团.....
关于费城实验的详细过程,大家可以在网络上搜索一下,我这里就不详细描述了
在这里,我的意思是,现在
- easy connect 之 ORA-12154: TNS: 无法解析指定的连接标识符
daizj
oracleORA-12154
用easy connect连接出现“tns无法解析指定的连接标示符”的错误,如下:
C:\Users\Administrator>sqlplus username/
[email protected]:1521/orcl
SQL*Plus: Release 10.2.0.1.0 – Production on 星期一 5月 21 18:16:20 2012
Copyright (c) 198
- 简单排序:归并排序
dieslrae
归并排序
public void mergeSort(int[] array){
int temp = array.length/2;
if(temp == 0){
return;
}
int[] a = new int[temp];
int
- C语言中字符串的\0和空格
dcj3sjt126com
c
\0 为字符串结束符,比如说:
abcd (空格)cdefg;
存入数组时,空格作为一个字符占有一个字节的空间,我们
- 解决Composer国内速度慢的办法
dcj3sjt126com
Composer
用法:
有两种方式启用本镜像服务:
1 将以下配置信息添加到 Composer 的配置文件 config.json 中(系统全局配置)。见“例1”
2 将以下配置信息添加到你的项目的 composer.json 文件中(针对单个项目配置)。见“例2”
为了避免安装包的时候都要执行两次查询,切记要添加禁用 packagist 的设置,如下 1 2 3 4 5
- 高效可伸缩的结果缓存
shuizhaosi888
高效可伸缩的结果缓存
/**
* 要执行的算法,返回结果v
*/
public interface Computable<A, V> {
public V comput(final A arg);
}
/**
* 用于缓存数据
*/
public class Memoizer<A, V> implements Computable<A,
- 三点定位的算法
haoningabc
c算法
三点定位,
已知a,b,c三个顶点的x,y坐标
和三个点都z坐标的距离,la,lb,lc
求z点的坐标
原理就是围绕a,b,c 三个点画圆,三个圆焦点的部分就是所求
但是,由于三个点的距离可能不准,不一定会有结果,
所以是三个圆环的焦点,环的宽度开始为0,没有取到则加1
运行
gcc -lm test.c
test.c代码如下
#include "stdi
- epoll使用详解
jimmee
clinux服务端编程epoll
epoll - I/O event notification facility在linux的网络编程中,很长的时间都在使用select来做事件触发。在linux新的内核中,有了一种替换它的机制,就是epoll。相比于select,epoll最大的好处在于它不会随着监听fd数目的增长而降低效率。因为在内核中的select实现中,它是采用轮询来处理的,轮询的fd数目越多,自然耗时越多。并且,在linu
- Hibernate对Enum的映射的基本使用方法
linzx0212
enumHibernate
枚举
/**
* 性别枚举
*/
public enum Gender {
MALE(0), FEMALE(1), OTHER(2);
private Gender(int i) {
this.i = i;
}
private int i;
public int getI
- 第10章 高级事件(下)
onestopweb
事件
index.html
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/
- 孙子兵法
roadrunners
孙子兵法
始计第一
孙子曰:
兵者,国之大事,死生之地,存亡之道,不可不察也。
故经之以五事,校之以计,而索其情:一曰道,二曰天,三曰地,四曰将,五
曰法。道者,令民于上同意,可与之死,可与之生,而不危也;天者,阴阳、寒暑
、时制也;地者,远近、险易、广狭、死生也;将者,智、信、仁、勇、严也;法
者,曲制、官道、主用也。凡此五者,将莫不闻,知之者胜,不知之者不胜。故校
之以计,而索其情,曰
- MySQL双向复制
tomcat_oracle
mysql
本文包括:
主机配置
从机配置
建立主-从复制
建立双向复制
背景
按照以下简单的步骤:
参考一下:
在机器A配置主机(192.168.1.30)
在机器B配置从机(192.168.1.29)
我们可以使用下面的步骤来实现这一点
步骤1:机器A设置主机
在主机中打开配置文件 ,
- zoj 3822 Domination(dp)
阿尔萨斯
Mina
题目链接:zoj 3822 Domination
题目大意:给定一个N∗M的棋盘,每次任选一个位置放置一枚棋子,直到每行每列上都至少有一枚棋子,问放置棋子个数的期望。
解题思路:大白书上概率那一张有一道类似的题目,但是因为时间比较久了,还是稍微想了一下。dp[i][j][k]表示i行j列上均有至少一枚棋子,并且消耗k步的概率(k≤i∗j),因为放置在i+1~n上等价与放在i+1行上,同理