【FPGA】准备入门学习----之初识FPGA(一)

==转载连接----https://blog.csdn.net/Mculover666/article/details/80650035
----Mculover666

FPGA内部有大量的可编程逻辑功能,使用Verilog HDL实现设计。
FPGA设计的是电路,单片机设计的是程序。单片机只是一个CPU在工作时钟驱动下的执行程序,典型的FPGA原理和结构:三类基本资源:可编程逻辑功能:实现用户功能的基本单元,拍成一个阵列结构,分布整个芯片
可编程内部互联资源
各种长度的连线和可编程连接开关
可编程输入输出IO块
更多参考链接:https://blog.csdn.net/mculover666/article/details/89439334
FPGA学习笔记之数字电路篇—被选召的孩子—https://blog.csdn.net/Archar_Saber/article/details/81606317
**数字电路基础知识(一)------ChuanjieZhu----https://blog.csdn.net/u014485485/article/details/82801293
**建立时间Setup time,Tsu建立时间,在时钟沿到来之前数据稳定不变的时间,如果建立的时间不充足,那么数据将不能在这个时钟上升沿被稳定的打入触发器
Hold time保持时间,时钟沿到来之后数据稳定不变的时间,如果保持时间不满足,那么数据同样不会被稳定的打入触发器
Tco 数据输出延迟—输出响应时间,当时钟有效沿变化后,数据从输入端到输出端的最小时间间隔
触发器输出的响应时间
FPGA 最重要的就是数电的知识
有用的分析文章:FPGA,你为什么这么牛?
很多人觉得FPGA难学,因为他们没总结出数电的尽头是模电
–大话Verilog–Verilog入门(一)-------jkfeng101010-------
https://blog.csdn.net/weixin_42124889/article/details/80973788
verilog入门教程(申缘)-------https://blog.csdn.net/caojunjun12345/article/details/31357785

初学verilog必看-----大写的ZDQ-----https://blog.csdn.net/u010712012/article/details/77711658
卡诺图化简法详细介绍-----SHENGLI_509------https://blog.csdn.net/SHENGLI_509/article/details/72514051
用卡诺图进行数字逻辑化简----woodcol—https://blog.csdn.net/fengmm521/article/details/78438407
Xilinx FPGA 学习笔记–时钟资源----七水_SevenFormer-------
https://blog.csdn.net/lg2lh/article/details/45220283
FPGA基础知识6(FPGA时钟系统及多时钟系统处理)----Times_poem
-----https://blog.csdn.net/Times_poem/article/details/51757227
FPGA中时钟信号的总结----长弓的坚持—
https://blog.csdn.net/wordwarwordwar/article/details/78259208
彻底掌握Quartus–基础篇------队长-Leader
https://blog.csdn.net/k331922164/article/details/46275247
Quartus II 13.0sp1 (64-bit)使用教程----chaichai-icon
https://blog.csdn.net/weixin_43552006/article/details/83960452
Verilog 基础知识(异步FIFO的FPGA实现)—Times_poem
https://blog.csdn.net/Times_poem/article/details/51919709
异步FIFO—Verilog实现(alangaixiaoxiao)
基于STM32的OV7670摄像头总结----坏坏成不坏—https://blog.csdn.net/HouQi02/article/details/51712994
深入理解FIFO(包含有FIFO深度的解释)
STM32F103+ov7670的简单图像处理------BFSTL—https://blog.csdn.net/u010606097/article/details/40869501
毕业设计–基于STM32的音乐播放器设计(一)
PeOS----https://blog.csdn.net/CXP2205455256/article/details/42464435
stm32f103+FFT+OLED的音乐频谱制作(干货 只需三步即可)-----mc_li
----https://blog.csdn.net/mc_li/article/details/81364766
关于Stm32定时器+ADC+DMA进行AD采样的实现----Update_Qing
------https://blog.csdn.net/u011732210/article/details/53239375

stm32之ADC应用实例(单通道,多通道,基于DMA)—脆弱的代码-----https://blog.csdn.net/weixin_42653531/article/details/81123770

你可能感兴趣的:(【FPGA】准备入门学习----之初识FPGA(一))