Chisel开发环境的安装

1.首先你得有个linux,官方建议Ubuntu,搞个虚拟机是最方便的,目前在Windows开发,仿真不太方便

2.其次安装Java开发环境,建议安装java8,因为chisel的chisel-bootcamp推荐用java8,其他的版本可能不兼容

java8安装方法:https://blog.csdn.net/xiangxianghehe/article/details/78870713

3.然后安装Scala开发环境,官方名字叫“sbt”,最好选择最新的发布版本的安装,不然你还得蛋疼得安装一个叫Firrtl 的软件。这个需要先下载再安装

echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list
sudo apt-key adv --keyserver hkp://keyserver.ubuntu.com:80 --recv 642AC823
sudo apt-get update
sudo apt-get install sbt

4.最后你得安装一个叫Verilator的软件用于仿真

 

sudo apt-get install git make autoconf g++ flex bison
git clone http://git.veripool.org/git/verilator

你可能感兴趣的:(Chisel)