- 在verilog中保留chisel中的注释
斐非韭
chiselfpga开发
HowtodeciphercommentsingeneratedVerilogfromchisel?ThesearesourcelocatorsandwillshowupingeneratedFIRRTLorVerilog.Thesetellyouwhatlineinasourcefile(ChiselorFIRRTL)wasusedtogenerateaspecificlineinthedown
- 蜂鸟E203系列——RISC-V资料
瓜大三哥
欲观原文,请君移步书籍资料先来第一本:小编读完感觉就是大师的书籍(关于硬件底层设计),就算学不会技术,也能提高自己的文学水平了,现在少有的好书了,强烈推荐。(可以去某宝或某东去购买)再来一本:前五章跟《手把手教你设计CPU》类似,对嵌入式感兴趣的人适合阅读。(可以去某宝或某东去购买)除了开发RISC-V指令集,大佬们开发了用于RISC-V处理器设计的Chisel语言(ConstructingHar
- chisel编码初体验
weixin_42330305
scala
本文记录了初次使用chisel编码时遇到的一些问题及解决办法,希望对以后的工作有所帮助。1、内部信号赋值1.1单bit数信号定义单bit使能需要定义为Bool型,在io端口定义如下valpipe_en=Input(Bool())注意:"Bool"后需要加"()"1.2寄存器打拍Chisel中支持的Reg类型有RegNext、RegInit、RegEnable、ShiftRegister,具体的差别
- chisel可选值/模式匹配实例
耐心的小黑
#chisel学习笔记scalachisel可选值模式匹配
一、scala可选值语法可选值就是类型为Option[T]的一个值。其中,Option是标准库里的一个密封抽象类。T可以是任意的类型,例如标准类型或自定义的类。并且T是协变的,简单来说,就是如果类型T是类型U的超类,那么Option[T]也是Option[U]的超类。Option类有一个子类:Some类。通过“Some(x)”可以构造一个Some的对象,其中参数x是一个具体的值。根据x的类型,可选
- Chisel中的几种常见的寄存器
CrazyUncle
ChiselICRegChiselRegNextIC
关于Reg的几种常见形式:一、最基本形式:可用于类型声明Reg:valclock=Node(x._parent.get.clock)时钟跟复位信号在Chisel中是隐藏的。Reg(t,next,init)如果没有显示式声明,那这三个的默认值都是nullt:是寄存器的数据类型next:是数据的输入端,也就是要延迟一拍输出的数据init:复位时候赋给输出的值valB=Reg(UInt(width=3)
- chisel RegInit/UInt/U
斐非韭
fpga开发
valreg=RegInit(0.U(8.W))//okvalreg=RegInit(0.UInt(8.W))//errU使用在数字.后边50.UUInt使用在IO(newBundlevala=Input(UInt(8.W))或者defcounter(max:UInt,a1:UInt)packageemptyimportchisel3._importchisel3.util._classMyCou
- chisel tutorial solution examples
斐非韭
fpga开发
Memo//SeeLICENSE.txtforlicensedetails.packagesolutionsimportchisel3._//Problem:////Implementadualportmemoryof2568-bitwords.//When'wen'isasserted,write'wrData'tomemoryat'wrAddr'//When'ren'isasserted,'r
- 【chisel】 环境,资料
斐非韭
chiselfpga开发
Chisel环境搭建教程(Ubuntu)根据上边的link去安装;目前scala最高版本用scala-2.13.10,太高了没有chisel的库文件支持;会在sbt下载的过程中报错;[error]sbt.librarymanagement.ResolveException:chiselchisel目前的一些状况,问题Chisel,说爱你不容易Chisel相较于verilog有哪些优势?有哪些开源c
- chisel tutorial examples
斐非韭
chiselfpga开发
GCD//SeeLICENSE.txtforlicensedetails.packageexamplesimportchisel3._/***ComputetheGCDof'a'and'b'usingEuclid'salgorithm.*Tostartacomputation,loadthevaluesinto'a'and'b'andtoggle'load'*high.*TheGCDwillber
- chisel之scala 语法
斐非韭
chiselscala数据库开发语言
Chisel新手教程之Scala语言(1)Value&variableValue是immutable的,当它被分配一个数据后,无法进行重新分配。用val表示。Variable是mutable的,可以重复赋值。用var表示。示例如下:vala=2//valuevari=2//variableType所有的Scala数据都有一个类型(type),所有的Scala类型都定义为类(class)。对于语句v
- 【IC设计】Windows下基于IDEA的Chisel环境安装教程(图文并茂)
农民真快落
ic设计ic设计scalachisel一生一芯risc-v
Chisel环境安装教程第一步安装jdk,配置环境变量第二步安装sbt,不用配置环境变量第三步安装idea社区版第四步离线安装scala的idea插件第五步配置sbt换源1.切换目录2.创建repositories文件3.配置sbtconfig.txt文件第六步使用chisel-tutorial工程运行AdderTests测试1.打开chisel-tutorial项目2.配置项目的sbt和scal
- 【程序人生】研二上快结束了~整理最近的思路
农民真快落
程序人生片上网络程序人生
看一下自己的《每日科研进展》群聊,把最近做的事情和后面要做的事情整理一下思路。1月1日到1月7日在整理NoCRouter执行流程和Chisel环境Setup流程:NoCRouter执行流程Chisel安装流程总结:先安装jdk,配置环境变量安装sbt,不用配置环境变量安装idea社区版离线安装scala的idea插件配置sbt换源利用template工程验证helloworld,注意:6.1配置自
- 【HTB】Horizontal(vhost爆破,chisel隧道)
天线锅仔
免责声明本文渗透的主机经过合法授权。本文使用的工具和方法仅限学习交流使用,请不要将文中使用的工具和渗透思路用于任何非法用途,对此产生的一切后果,本人不承担任何责任,也不对造成的任何误用或损害负责。服务探测┌──(rootkali)-[~]└─#nmap-sV-Pn10.10.11.105Hostdiscoverydisabled(-Pn).Alladdresseswillbemarked'up'a
- Chisel入门初步0
铭....
chisel入门chisel
注:以下所有配置在Ubuntu22.04笔记本中运行chisel模板构建复制项目模板文件gitclonehttps://github.com/schoeberl/chisel-examples.git安装vscode插件Metals打开顶层目录,并设置为项目文件夹打开终端输入tree-L3#查看三层目录结构得到如下目录结构(helloworld文件夹示例下的结构)$tree-L3.├──build
- chisel入门初步1——基4的booth编码的单周期有符号乘法器实现
铭....
chisel入门fpga开发
基4的booth编码乘法器原理说明基2的booth编码本质来说就是一个裂项重组,乘法器最重要的设计是改变部分积的数量,另外在考虑有符号数的情况下,最高位符号位有特别的意义。(注:部分积是指需要最后一起加和的所有部分乘积的项)下面直接套用其他人图片进行展示,来源如下https://blog.csdn.net/weixin_42330305/article/details/122868294其中B−1
- 【HTB】ServMon(目录遍历,chisel隧道,普通用户提权至管理员组)
天线锅仔
免责声明本文渗透的主机经过合法授权。本文使用的工具和方法仅限学习交流使用,请不要将文中使用的工具和渗透思路用于任何非法用途,对此产生的一切后果,本人不承担任何责任,也不对造成的任何误用或损害负责。服务探测┌──(rootkali)-[~/htb/ServMon]└─#nmap-sV-Pn10.10.10.184-p-Hostdiscoverydisabled(-Pn).Alladdresseswi
- 18-lldb(下)chisel & 插件
深圳_你要的昵称
前言一、虚拟内存&ASLR在我之前写的文章启动优化解析中,有介绍物理内存和虚拟内存的区别,以及ASLR的概念,现在我们再次总结一下。1.1虚拟内存小结物理内存:你可以这么理解,就是电脑插的内存条,容量就是真实的,是8G就8G,是16G就16G。虚拟内存:物理内存的衍生物。主要解决2大问题内存不够&不安全。高效利用内存空间物理内存中只加载了应用程序需要的几页数据,未用到的不加载。数据安全每个应用只能
- 第一天三大世界古迹
April_yang03
明Andes安第斯山脉Peru秘鲁plaza广场astronomicalobservatory天文观测台retreatfromthehustleandbustleofthecapitalcity与世隔绝sophisticated精密的,繁复的jackhammers/ˈdʒækhæmər/n.手提钻chisels/ˈtʃɪzl/n.口凿;凿子,錾子(chisel的名词复数)colossal/kəˈl
- sysdig_sysdig使用心得
weixin_39830205
sysdig
“strace+tcpdump+lsof+上面点缀着lua樱桃的绝妙酱汁”:)它不仅能分析Linux系统的“现场”状态,也能将该状态保存为转储文件以供离线检查。你可以自定义sysdig的行为,或者甚至通过内建的(你也可以自己编写)名为凿子(chisel)的小脚本增强其功能。单独的凿子可以以脚本指定的各种风格分析sysdig捕获的事件流。安装配置0、官网http://www.sysdig.org/=
- iOS 都要知道的LLDB介绍与Chisel进阶
沃妮马
一、LLDB什么是LLDBLLDB是一个有着REPL的特性和C++,Python插件的开源调试器。Xcode中绑定了LLDB,调试器允许你在程序运行时暂停它,可以查看变量的值,执行自定的指令。在程序里你需要的地方设置断点。当断点断住的时候你就能看到我们进入LLDB调试器了,下面这个界面对于iOS开发者来说应该不陌生了:几个常用命令1.helphelp命令可以查看LLDB的所有命令:它也可以查看某一
- 吃透Chisel语言.24.Chisel时序电路(四)——Chisel内存(Memory)详解
计算机体系结构-3rr0r
吃透Chisel语言!!!Chiselfpga开发同步内存SyncReadMemCPU设计实现
Chisel时序电路(四)——Chisel内存(Memory)详解上一篇文章介绍了移位寄存器的实现和两种常用的移位寄存器,在实现串口通信的时候会很有用,对后面的高速接口设计可能又会有一定启发。在数字设计中,不仅仅有寄存器可以保存电路的状态信号,内存(Memory,或叫作存储器,本文都用内存称呼)也是可以保存状态信息的,在处理器设计中内存还用于程序代码和数据的存放,因此十足重要。这一篇文章我们就一起
- 【Chisel学习】设计n位超前进位加法器生成器(Carry-Lookahead Adder Generator)
冯之烨e
Chiselgithubscalaintellij-idea
Chisel学习——设计n位超前进位加法器生成器(Carry-LookaheadAdderGenerator)文章目录Chisel学习——设计n位超前进位加法器生成器(Carry-LookaheadAdderGenerator)一,项目简介二,理论基础2.1Chisel2.1硬件生成器(HardwareGenerator)2.2常规行波进位加法器(RCA,Ripple-CarryAdder)2.2
- 一生一芯18——Chisel模板与Chisel工程构建
铭....
一生一芯scalaChisel
Chisel模板链接如下:链接:https://pan.baidu.com/s/1DNDKpz5VnTxPgoZBBOd-Ww?pwd=revg提取码:revgChisel转Verilog模板如下:链接:https://pan.baidu.com/s/1T9JQL5BccxqI4bscfU-JyA?pwd=7rw2提取码:7rw2以下使用sbt作为构建工具Chisel项目构建介绍多项目构建的基本概
- 实验七 状态机及键盘输入 chisel
计科小学生233
fpga开发开发语言
题目请设计一个区别两种特定时序的有限状态机FSM:该有限状态机有一个输入w和一个输出z。当w是4个连续的0或4个连续的1时,输出z=1,否则z=0,时序允许重叠。即:若w是连续的5个1时,则在第4个和第5个时钟之后,z均为1。chiselmain.scalaimportchisel3._importchisel3.util._classFSMextendsModule{valio=IO(newBu
- 吃透Chisel语言.12.Chisel项目构建、运行和测试(四)——Chisel测试之ChiselTest
计算机体系结构-3rr0r
吃透Chisel语言!!!Chiselrisc-v计算机体系结构CPU设计实现ChiselTest
Chisel项目构建、运行和测试(四)——Chisel测试之ChiselTest上一篇文章我们介绍了ScalaTest,它是Scala和Java的测试工具。而现在Chisel模块最新的标准测试工具是ChiselTest,它是基于ScalaTest的,允许我们用于Chisel测试。为了使用ChiselTest,我们同样需要在build.sbt里面包含chiseltest的库:libraryDepen
- 吃透Chisel语言.15.Chisel模块详解(二)——Chisel模块嵌套和ALU实现
计算机体系结构-3rr0r
吃透Chisel语言!!!Chiselrisc-vfpga开发计算机体系结构CPU设计实现
Chisel模块详解(二)——Chisel模块嵌套和ALU实现稍微复杂点的硬件设计就需要用嵌套的模块层级来构建了,上一篇文章中实现的计数器其实就是个例子,计数器内部嵌套了一个寄存器、一个Mux和一个加法器。这一篇文章就仔细讲解模块之间是怎么连接到一起的,又是怎么组合成层级的大规模模块的,最后用Chisel实现一个ALU模块来实际应用一下Chisel模块实现的基本方法。Chisel模块的连接和层级嵌
- 吃透Chisel语言.09.Chisel项目构建、运行和测试(一)——用sbt构建Chisel项目并运行
计算机体系结构-3rr0r
吃透Chisel语言!!!Chisel计算机体系结构risc-vCPU设计实现sbt
Chisel项目构建、运行和测试(一)——用sbt构建Chisel项目并运行上一大部分介绍了Chisel的基础语法,但除了教程开始的Demo以外,我们还没有开始写Chisel代码,这对于学习编程语言来说是大忌。不过好在Chisel基础语法部分内容并不算多,眼睛过一遍可能也掌握个大差不差了。但不能总这样,所以这一部分就来讲讲如何开始我们的Chisel项目。如何开始Chisel项目那么构建Chisel
- 吃透Chisel语言.05.Chisel基础(二)——组合电路与运算符
计算机体系结构-3rr0r
吃透Chisel语言!!!risc-vfpga开发Chisel计算机体系结构CPU设计实现
Chisel基础(二)——组合电路与运算符组合逻辑电路从数学的角度来讲,就是用布尔代数的操作符来描述的数字逻辑电路,也就是一系列布尔代数运算符的组合。Chisel中,这些布尔代数的操作符跟C、Java、Scala以及其他编程语言中定义的是类似的,比如,&是按位与操作符,|是按位或操作符。这一部分就详细介绍Chisel中基本的位运算符、算术运算符、逻辑运算符、比较运算符等,以及Chisel中的一个高
- 吃透Chisel语言.07.Chisel基础(四)——Bundle和Vec
计算机体系结构-3rr0r
吃透Chisel语言!!!risc-vChisel计算机体系结构CPU设计实现fpga开发
Chisel基础(四)——Bundle和VecChisel基础的前面三篇我们学习了数据类型、组合电路操作符和寄存器,虽然已经足够实现很复杂的数字电路了,但还是不够方便。比如我需要构建一个32个寄存器的寄存器组,那么我需要写32个RegInit吗?再比如我要将几个信号打包到一起,我又该怎么实现呢?Chisel中提供了两种构造用于给相关的信号分组,他们就是Bundle和Vec,其中:Bundle用于将
- 新型敏捷硬件设计语言---Chisel初识
耐心的小黑
#chisel学习笔记scalachisel编程语言
最新更新—2021.7.17参考引用了以下两篇文章的部分内容:1、谈谈Chisel硬件设计语言2、Chisel语言初识及硬件设计敏捷开发趋势分析一、最好的宿主—Scala“如果今天我要选用Java之外的一门语言,我将会选择Scala。”——JamesGosling,Java之父在今天众多的编程语言中,Java常常是软件开发者的首选语言。而能让Java之父给出如此评价的Scala,想必有其吸引人之处
- 桌面上有多个球在同时运动,怎么实现球之间不交叉,即碰撞?
换个号韩国红果果
html小球碰撞
稍微想了一下,然后解决了很多bug,最后终于把它实现了。其实原理很简单。在每改变一个小球的x y坐标后,遍历整个在dom树中的其他小球,看一下它们与当前小球的距离是否小于球半径的两倍?若小于说明下一次绘制该小球(设为a)前要把他的方向变为原来相反方向(与a要碰撞的小球设为b),即假如当前小球的距离小于球半径的两倍的话,马上改变当前小球方向。那么下一次绘制也是先绘制b,再绘制a,由于a的方向已经改变
- 《高性能HTML5》读后整理的Web性能优化内容
白糖_
html5
读后感
先说说《高性能HTML5》这本书的读后感吧,个人觉得这本书前两章跟书的标题完全搭不上关系,或者说只能算是讲解了“高性能”这三个字,HTML5完全不见踪影。个人觉得作者应该首先把HTML5的大菜拿出来讲一讲,再去分析性能优化的内容,这样才会有吸引力。因为只是在线试读,没有机会看后面的内容,所以不胡乱评价了。
- [JShop]Spring MVC的RequestContextHolder使用误区
dinguangx
jeeshop商城系统jshop电商系统
在spring mvc中,为了随时都能取到当前请求的request对象,可以通过RequestContextHolder的静态方法getRequestAttributes()获取Request相关的变量,如request, response等。 在jshop中,对RequestContextHolder的
- 算法之时间复杂度
周凡杨
java算法时间复杂度效率
在
计算机科学 中,
算法 的时间复杂度是一个
函数 ,它定量描述了该算法的运行时间。这是一个关于代表算法输入值的
字符串 的长度的函数。时间复杂度常用
大O符号 表述,不包括这个函数的低阶项和首项系数。使用这种方式时,时间复杂度可被称为是
渐近 的,它考察当输入值大小趋近无穷时的情况。
这样用大写O()来体现算法时间复杂度的记法,
- Java事务处理
g21121
java
一、什么是Java事务 通常的观念认为,事务仅与数据库相关。 事务必须服从ISO/IEC所制定的ACID原则。ACID是原子性(atomicity)、一致性(consistency)、隔离性(isolation)和持久性(durability)的缩写。事务的原子性表示事务执行过程中的任何失败都将导致事务所做的任何修改失效。一致性表示当事务执行失败时,所有被该事务影响的数据都应该恢复到事务执行前的状
- Linux awk命令详解
510888780
linux
一. AWK 说明
awk是一种编程语言,用于在linux/unix下对文本和数据进行处理。数据可以来自标准输入、一个或多个文件,或其它命令的输出。它支持用户自定义函数和动态正则表达式等先进功能,是linux/unix下的一个强大编程工具。它在命令行中使用,但更多是作为脚本来使用。
awk的处理文本和数据的方式:它逐行扫描文件,从第一行到
- android permission
布衣凌宇
Permission
<uses-permission android:name="android.permission.ACCESS_CHECKIN_PROPERTIES" ></uses-permission>允许读写访问"properties"表在checkin数据库中,改值可以修改上传
<uses-permission android:na
- Oracle和谷歌Java Android官司将推迟
aijuans
javaoracle
北京时间 10 月 7 日,据国外媒体报道,Oracle 和谷歌之间一场等待已久的官司可能会推迟至 10 月 17 日以后进行,这场官司的内容是 Android 操作系统所谓的 Java 专利权之争。本案法官 William Alsup 称根据专利权专家 Florian Mueller 的预测,谷歌 Oracle 案很可能会被推迟。 该案中的第二波辩护被安排在 10 月 17 日出庭,从目前看来
- linux shell 常用命令
antlove
linuxshellcommand
grep [options] [regex] [files]
/var/root # grep -n "o" *
hello.c:1:/* This C source can be compiled with:
- Java解析XML配置数据库连接(DOM技术连接 SAX技术连接)
百合不是茶
sax技术Java解析xml文档dom技术XML配置数据库连接
XML配置数据库文件的连接其实是个很简单的问题,为什么到现在才写出来主要是昨天在网上看了别人写的,然后一直陷入其中,最后发现不能自拔 所以今天决定自己完成 ,,,,现将代码与思路贴出来供大家一起学习
XML配置数据库的连接主要技术点的博客;
JDBC编程 : JDBC连接数据库
DOM解析XML: DOM解析XML文件
SA
- underscore.js 学习(二)
bijian1013
JavaScriptunderscore
Array Functions 所有数组函数对参数对象一样适用。1.first _.first(array, [n]) 别名: head, take 返回array的第一个元素,设置了参数n,就
- plSql介绍
bijian1013
oracle数据库plsql
/*
* PL/SQL 程序设计学习笔记
* 学习plSql介绍.pdf
* 时间:2010-10-05
*/
--创建DEPT表
create table DEPT
(
DEPTNO NUMBER(10),
DNAME NVARCHAR2(255),
LOC NVARCHAR2(255)
)
delete dept;
select
- 【Nginx一】Nginx安装与总体介绍
bit1129
nginx
启动、停止、重新加载Nginx
nginx 启动Nginx服务器,不需要任何参数u
nginx -s stop 快速(强制)关系Nginx服务器
nginx -s quit 优雅的关闭Nginx服务器
nginx -s reload 重新加载Nginx服务器的配置文件
nginx -s reopen 重新打开Nginx日志文件
- spring mvc开发中浏览器兼容的奇怪问题
bitray
jqueryAjaxspringMVC浏览器上传文件
最近个人开发一个小的OA项目,属于复习阶段.使用的技术主要是spring mvc作为前端框架,mybatis作为数据库持久化技术.前台使用jquery和一些jquery的插件.
在开发到中间阶段时候发现自己好像忽略了一个小问题,整个项目一直在firefox下测试,没有在IE下测试,不确定是否会出现兼容问题.由于jquer
- Lua的io库函数列表
ronin47
lua io
1、io表调用方式:使用io表,io.open将返回指定文件的描述,并且所有的操作将围绕这个文件描述
io表同样提供三种预定义的文件描述io.stdin,io.stdout,io.stderr
2、文件句柄直接调用方式,即使用file:XXX()函数方式进行操作,其中file为io.open()返回的文件句柄
多数I/O函数调用失败时返回nil加错误信息,有些函数成功时返回nil
- java-26-左旋转字符串
bylijinnan
java
public class LeftRotateString {
/**
* Q 26 左旋转字符串
* 题目:定义字符串的左旋转操作:把字符串前面的若干个字符移动到字符串的尾部。
* 如把字符串abcdef左旋转2位得到字符串cdefab。
* 请实现字符串左旋转的函数。要求时间对长度为n的字符串操作的复杂度为O(n),辅助内存为O(1)。
*/
pu
- 《vi中的替换艺术》-linux命令五分钟系列之十一
cfyme
linux命令
vi方面的内容不知道分类到哪里好,就放到《Linux命令五分钟系列》里吧!
今天编程,关于栈的一个小例子,其间我需要把”S.”替换为”S->”(替换不包括双引号)。
其实这个不难,不过我觉得应该总结一下vi里的替换技术了,以备以后查阅。
1
所有替换方案都要在冒号“:”状态下书写。
2
如果想将abc替换为xyz,那么就这样
:s/abc/xyz/
不过要特别
- [轨道与计算]新的并行计算架构
comsci
并行计算
我在进行流程引擎循环反馈试验的过程中,发现一个有趣的事情。。。如果我们在流程图的每个节点中嵌入一个双向循环代码段,而整个流程中又充满着很多并行路由,每个并行路由中又包含着一些并行节点,那么当整个流程图开始循环反馈过程的时候,这个流程图的运行过程是否变成一个并行计算的架构呢?
- 重复执行某段代码
dai_lm
android
用handler就可以了
private Handler handler = new Handler();
private Runnable runnable = new Runnable() {
public void run() {
update();
handler.postDelayed(this, 5000);
}
};
开始计时
h
- Java实现堆栈(list实现)
datageek
数据结构——堆栈
public interface IStack<T> {
//元素出栈,并返回出栈元素
public T pop();
//元素入栈
public void push(T element);
//获取栈顶元素
public T peek();
//判断栈是否为空
public boolean isEmpty
- 四大备份MySql数据库方法及可能遇到的问题
dcj3sjt126com
DBbackup
一:通过备份王等软件进行备份前台进不去?
用备份王等软件进行备份是大多老站长的选择,这种方法方便快捷,只要上传备份软件到空间一步步操作就可以,但是许多刚接触备份王软件的客用户来说还原后会出现一个问题:因为新老空间数据库用户名和密码不统一,网站文件打包过来后因没有修改连接文件,还原数据库是好了,可是前台会提示数据库连接错误,网站从而出现打不开的情况。
解决方法:学会修改网站配置文件,大多是由co
- github做webhooks:[1]钩子触发是否成功测试
dcj3sjt126com
githubgitwebhook
转自: http://jingyan.baidu.com/article/5d6edee228c88899ebdeec47.html
github和svn一样有钩子的功能,而且更加强大。例如我做的是最常见的push操作触发的钩子操作,则每次更新之后的钩子操作记录都会在github的控制板可以看到!
工具/原料
github
方法/步骤
- ">的作用" target="_blank">JSP中的作用
蕃薯耀
JSP中<base href="<%=basePath%>">的作用
>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>
- linux下SAMBA服务安装与配置
hanqunfeng
linux
局域网使用的文件共享服务。
一.安装包:
rpm -qa | grep samba
samba-3.6.9-151.el6.x86_64
samba-common-3.6.9-151.el6.x86_64
samba-winbind-3.6.9-151.el6.x86_64
samba-client-3.6.9-151.el6.x86_64
samba-winbind-clients
- guava cache
IXHONG
cache
缓存,在我们日常开发中是必不可少的一种解决性能问题的方法。简单的说,cache 就是为了提升系统性能而开辟的一块内存空间。
缓存的主要作用是暂时在内存中保存业务系统的数据处理结果,并且等待下次访问使用。在日常开发的很多场合,由于受限于硬盘IO的性能或者我们自身业务系统的数据处理和获取可能非常费时,当我们发现我们的系统这个数据请求量很大的时候,频繁的IO和频繁的逻辑处理会导致硬盘和CPU资源的
- Query的开始--全局变量,noconflict和兼容各种js的初始化方法
kvhur
JavaScriptjquerycss
这个是整个jQuery代码的开始,里面包含了对不同环境的js进行的处理,例如普通环境,Nodejs,和requiredJs的处理方法。 还有jQuery生成$, jQuery全局变量的代码和noConflict代码详解 完整资源:
http://www.gbtags.com/gb/share/5640.htm jQuery 源码:
(
- 美国人的福利和中国人的储蓄
nannan408
今天看了篇文章,震动很大,说的是美国的福利。
美国医院的无偿入院真的是个好措施。小小的改善,对于社会是大大的信心。小孩,税费等,政府不收反补,真的体现了人文主义。
美国这么高的社会保障会不会使人变懒?答案是否定的。正因为政府解决了后顾之忧,人们才得以倾尽精力去做一些有创造力,更造福社会的事情,这竟成了美国社会思想、人
- N阶行列式计算(JAVA)
qiuwanchi
N阶行列式计算
package gaodai;
import java.util.List;
/**
* N阶行列式计算
* @author 邱万迟
*
*/
public class DeterminantCalculation {
public DeterminantCalculation(List<List<Double>> determina
- C语言算法之打渔晒网问题
qiufeihu
c算法
如果一个渔夫从2011年1月1日开始每三天打一次渔,两天晒一次网,编程实现当输入2011年1月1日以后任意一天,输出该渔夫是在打渔还是在晒网。
代码如下:
#include <stdio.h>
int leap(int a) /*自定义函数leap()用来指定输入的年份是否为闰年*/
{
if((a%4 == 0 && a%100 != 0
- XML中DOCTYPE字段的解析
wyzuomumu
xml
DTD声明始终以!DOCTYPE开头,空一格后跟着文档根元素的名称,如果是内部DTD,则再空一格出现[],在中括号中是文档类型定义的内容. 而对于外部DTD,则又分为私有DTD与公共DTD,私有DTD使用SYSTEM表示,接着是外部DTD的URL. 而公共DTD则使用PUBLIC,接着是DTD公共名称,接着是DTD的URL.
私有DTD
<!DOCTYPErootSYST