3-8译码器

3-8译码器

译码是编码的逆过程。其功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。如果有n个二进制选择线,则最多可译码转换成2n个数据。

S1、~S2、~S3是三个控制端。S1高电平有效, ~S2、~S3低电平有效,即当S1=1、 (~S2)= (~S3)=0时,译码器正常工作,否则译码器不能正常译码,所有输出端都输出高电平

3-8译码器的真值表


程序代码如下:

module decoder3_8(
    input [2:0] A,
    input [3:1] G,
    output reg[7:0] Y
    );
	reg s ;
	always @ (A or G)        //A为输入端,G为控制端
		begin
			s <= G[2] | G[3] ;
			if(G[1] == 0)            //输出端均为高电平
				Y <= 8'b1111_1111 ;
			else if (s)         //输出端均为高电平
				Y <= 8'b1111_1111 ;
			else                   //74LS138正常工作
				begin
					case(A)
					3'b000 : Y <= 8'b0111_1111 ;
					3'b001 : Y <= 8'b1011_1111 ;
					3'b010 : Y <= 8'b1101_1111 ;
					3'b011 : Y <= 8'b1110_1111 ;
					3'b100 : Y <= 8'b1111_0111 ;
					3'b101 : Y <= 8'b1111_1011 ;
					3'b110 : Y <= 8'b1111_1101 ;
					3'b111 : Y <= 8'b1111_1110 ;
					endcase
				end
		end
endmodule



你可能感兴趣的:(FPGA,Verilog,HDL)