fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)

  • 开发板资料在网上搜索BASYS3即可查到
  • 一个很简单的项目上手(按键控制灯的亮灭),主要练习vivado的使用
  • 主界面
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第1张图片
  • 新建工程
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第2张图片
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第3张图片
  • 给工程取名,注意不要带空格,next
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第4张图片
  • 首选项
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第5张图片
  • creat file
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第6张图片
  • 语言类型我们练习Veirlog
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第7张图片
  • constraits稍后添加,next
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第8张图片- 在搜索框搜索,选择器件,next
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第9张图片fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第10张图片fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第11张图片
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第12张图片
  • 可以看到界面是很好看的
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第13张图片
  • 双击打开
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第14张图片
  • 觉得界面太小也可以选择让窗口悬浮
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第15张图片
  • 写代码,保存
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第16张图片
        module led_switch(led,switch);
        output [1:0] led;
        input [1:0] switch;
        assign led[0] = switch[0];
        assign led[1] = switch[0] & switch[1];
        endmodule
  • 然后添加约束,对应到板子上的硬件
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第17张图片fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第18张图片
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第19张图片
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第20张图片
  • 生成后,双击打开
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第21张图片
  • 添加约束,对应空格,注意管脚名称与板子上对应
set_property PACKAGE_PIN V17 [get_ports {switch[0]}] // switch[0]加括号{}因为switch[0]是数组

set_property IOSTANDARD LVCMOS33 [get_ports {switch[0]}] // LVCMOS代表CMOS3.3V电压

set_property PACKAGE_PIN V16 [get_ports {switch[1]}]

set_property IOSTANDARD LVCMOS33 [get_ports {switch[1]}]

set_property PACKAGE_PIN U16 [get_ports {led[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]

set_property PACKAGE_PIN E19 [get_ports {led[1]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] 
  • 产生bitstream这是要写入板子的bit文件
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第22张图片
  • OK完事
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第23张图片
  • 开始运转,速度非常慢,不得不忍受,要是报错得重新回去调试
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第24张图片
  • 他实际上按这个流程跑下来
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第25张图片
  • 打开硬件管理器
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第26张图片
  • 没什么显示,别慌
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第27张图片
  • 看板子已经连接上了,点绿条里的Program device
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第28张图片
  • 一般文件夹的位置在这,有的同学不是就得手动找
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第29张图片
  • 如果没有programmed得手动选择
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第30张图片
  • 实验结果
  • 讲个笑话,一开始我连开机都不知道,得摁开关
    fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)_第31张图片

你可能感兴趣的:(闲来鬼扯,fpga我来了)