VCS+VERDI 的环境配置(1)


引子:

             最近,在64bit的LINUX(cent os 6.4)上安装好,synopsys的VERDI和VCS后,写好MAKEFILE脚本,在运行的时候总是出现不了FSDB文件,欲解决之。

vcs版本: vcs-2014

verdi版本:

    在64位主机上运行32位软件,主要是解决好库的问题:安装库的时候要明确指出是x86的,否则,安装的是64位的;在这个地方走过弯路。

     问题的变量有: 操作系统的位数;synopsys软件的位数 。对于前者只要兼容库安装好,对脚本环境的编写没有什么影响;关键是看软件的版本号以及软件的位数。

verdi2014为分界,之后,synopsys收购了verdi所以很多设置都可以默认,之前的必须明确指出。

@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@

  问题1:  来源:  http://bbs.eetop.cn/thread-578008-1-1.html

 软件平台如下:
UVM : 1.1d
VCS :  VCS_MX_vK-2015.09 (64位)
VERDI : VERDI-K-2015.09     64位)

1. CSHRC 设置
    UVM_HOME 指向UVM1.1x 或者1.2的库
    NOVAS_HOME 指向verdi安装目录
     * 如果是2014以下的版本* 还应设置 LD_LIBRARY_PATH
      
64-bit:
setenv VCS_TARGET_ARCH amd64
setenv LD_LIBRARY_PATH $NOVAS_HOME/share/PLI/VCS/LINUX64

32-bit: (vcs default)
setenv LD_LIBRARY_PATH $NOVAS_HOME/share/PLI/VCS/LINUX

2. MAKEFILE 中VCS、SIMV 和VERDI的启动参数
    VCS编译指令: 针对2014以上版本 使用-debug_access+all 模式,额外增加 -ntb_opts uvm-1.x 选项
                         针对64位系统加入-LDFLAGS"-Wl,--rpth,$(NOVAS_HOME)/share/PLI/VCS/LINUX64"

                         针对2014以下版本,需明确pli和novas.table的位置 加入 -P $(NOVAS_HOME)/share/PLI/VCS/LINUX64/novas.tab  $(NOVAS_HOME)/share/PLI/VCS/LINUX64/pli.a

     SIMV仿真:   针对使用-debug_access+all 模式的,tb中通过$fsdbDumpfile指定的文件会失效,需要在simv中通过
                        +fsdbfile+filename.fsdb 方式指定。
                        另外增加 +UVM_VERDI_TRACE="UVM_AWARE+RAL+TLM+MSG+HIER+PRINT" +UVM_VERDI_TRACE +UVM_TR_RECORD  +UVM_LOG_RECORD  指令以支持将UVM相关的内容dump到fsdb中。

      VERDI    : 只需增加UVM库的编译。+$(UVM_HOME)/src $(UVM_HOME)/src/uvm.sv 即可


附件是synopsys对应的文档和我的.cshrc 和makefile文件。

@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@


问题2: 来源:http://bbs.eetop.cn/thread-469102-1-1.html

*Novas*  Loading libsscore_vcs200606.so
*Novas*  /u/50/50/SYNOPSYS/Veridi1403/share/PLI/lib/LINUX/libsscore_vcs200606.so: cannot open shared object file: No such file or directory
*Novas*  Failed to load FSDB dumper.

软件版本:VCS201209/Verdi201403
VCS脚本如下:

vcs -l vcs.log \
    -notice \
    +v2k \
    +acc \
    +libext+.v \
    -fsdb \
    -work work \
    -f ../flist/flist.f

./simv -l simv.log

********
先 setenv LD_LIBRARY_PATH /u/50/50/SYNOPSYS/Veridi1403/share/PLI/lib/LINUX

vcs 加上 -debug_pp -P /u/50/50/SYNOPSYS/Veridi1403/share/PLI/xxx/novas.tab /u/50/50/SYNOPSYS/Veridi1403/share/PLI/xxx/pli.a +define+DUMPFSDB


查阅verdi手册,有具体的指导。

@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@

VCS+VERDI 的环境配置(1)_第1张图片


VCS+VERDI 的环境配置(1)_第2张图片



VCS+VERDI 的环境配置(1)_第3张图片


VCS+VERDI 的环境配置(1)_第4张图片


VCS+VERDI 的环境配置(1)_第5张图片


你可能感兴趣的:(synopsys)