- UVM TLM之analysis_port的write函数
斐非韭
uvm
analysis端口analysis_port/analysis_export(analysis_imp)没有阻塞和非阻塞概念在analysis_imp所在的模块必须定义一个write函数;write函数收到的数据放到run_phase中处理需要存放到队列中并借助一个event;(example)具体过程:analysis_port的write函数会依次获取与其相连的analysis_imp,并调
- 白皮书《UVM实战》2.5.2代码详细注释(包括知识点对应页码)
元气少女大斩FPGA
fpga开发
1.my_driver.sv`ifndefMY_DRIVER__SV`defineMY_DRIVER__SVclassmy_driverextendsuvm_driver#(my_transaction);virtualmy_ifvif;//声明虚接口vif//使用uvm_component_utils宏注册到factory`uvm_component_utils(my_driver)//构造函数
- DVT学习与使用
Dale_e
SV学习学习笔记经验分享材料工程
补充1.打开某些隐藏窗口或图标:1.DVT基本概念和示例引入1.1双击uvm_1.2_ubus,选择一个路径,点finish,dvt会自动将ubus这个例子的文件拷贝在你创建的路径下,并且自动帮助你构建项目1.2下面是创建完成后的界面1.3打开项目里的代码文件比如ubus.env.sv,可查看验证代码1.4windows——showview:打开我们需要的功能窗口,other里有其他许多未显示的功
- UVM实验4
Dale_e
SV学习笔记经验分享学习
1.实验目的将generator,driver与test的关系最终移植为sequence,sequencer,driver和test的关系,聚焦于sequence和sequencer的使用。2.实验过程2.1driver与sequencer的改建1.验证结构框图2.实际代码以chnl_pkg为例:classchnl_driverextendsuvm_driver#(chnl_trans);//dr
- UVM实验3
Dale_e
SV学习材料工程笔记经验分享学习
1.实验目的1.在之前的monitor到checker的通信,以及checker与referencemodel之间的通信,都是通过mailbox以及在上层进行其句柄的传递实现的。我们在接下来的实验要求中,需要大家使用TLM端口进行通信,做逐步的通信元素和方法的替换2.涉及到通信的有各个agent里的monitor和mcdf_checker的mailbox的通信;以及mcdf_fefmod和mcdf
- UVM实验2
Dale_e
SV学习笔记经验分享材料工程
1.代码讲解1.1问题1:为什么要用$cast(),以及为什么要用void’()taskdo_drive();chnl_transreq,rsp;@(posedgeintf.rstn);foreverbeginthis.req_mb.get(req);this.chnl_write(req);void'($cast(rsp,req.clone()));//req.clone调用的核心基类的方法,永
- UVM功能覆盖率(二) —— 基本语法介绍
hh199203
UVMUVM功能覆盖率systemverilog基本语法
文章目录前言一、覆盖组covergroup二、覆盖点coverpoints2.1iff关键字2.2default关键字2.3bins关键字2.3.1带参数的bins2.4with关键字2.5wildcard关键字2.6ignore_bins关键字2.7illegal_bins关键字2.8一些异常情况的举例分析三、交叉覆盖率cross3.1cross关键字3.2binsof和intersect关键字
- UVM中打印格式及其控制方法
hh199203
UVMUVMsystemverilogsformatf打印格式
文章目录前言一、UVM中提供的打印宏二、UVM打印宏打印参数三、命令行控制打印信息总结前言在基于UVM的验证环境中写代码的时候,经常需要打印一些参数进行调试。本文主要总结一下,在UVM中如何去打印,以及如何去控制打印信息。一、UVM中提供的打印宏如下图所示,uvm中提供了`uvm_info、`uvm_warning、`uvm_error、`uvm_fatal这四个宏,分别用于打印不同严重等级的信息
- 【数字IC精品文章收录】近500篇文章-学习路线-基础知识-接口-总线-脚本语言-芯片求职-安全-EDA-工具-低功耗设计-Verilog-低功耗-STA-设计-验证-FPGA-架构-AMBA-书籍-
程序员负总裁
学习安全fpga开发
数字IC全站文章索引demo版(建议收藏慢慢看)*一、项目说明*1.1索引目的1.2收录原则1.3投稿方式1.4版本迭代二、数字IC学习路线三、通用技能篇*3.1数字电路3.2硬件描述语言(Verilog)3.3linux操作系统3.4C语言3.5微机原理3.6汇编语言3.7计算机组成原理3.8计算机体系架构3.9STA静态时序分析3.10SystemVerilog3.11UVM3.12SVA3.
- UVM实战笔记(七)
搬砖小张
UVM实战fpga开发学习硬件工程
第七章.UVM中的寄存器模型7.1寄存器模型简介7.1.1带寄存器配置总线的DUT本章节使用的DUT带寄存器配置,代码如下:moduledut(clk,rst_n,bus_cmd_valid,bus_op,bus_addr,bus_wr_data,bus_rd_data,rxd,rx_dv,txd,tx_en)inputclk;//systemclockinputrst_n;//systemres
- 关于uvm_reg_predictor #() predictor
禅空心已寂
前端uvmreg_predictorIC验证
1、ExplicitRegisterPredictor`uvm_reg_predictor`类定义了一个预测器组件,用于基于在物理总线上显式观察到的事务来更新寄存器模型的镜像值。2、uvm_reg_predictor根据观察到的总线事务更新寄存器模型的镜像值。该类将观察到的总线事务(类型为BUSTYPE)转换为通用寄存器事务,通过总线地址确定正在访问的寄存器,然后根据寄存器的访问模式使用观察到的总
- 【UVM源码】sequence机制使用方法和源代码解析
飓风_数字IC验证
UVM基础理论硬件工程
本文目录一、sequence机制使用方法(1)sequence的body方法开发:(2)sequence启动方式:(3)virtualsequencer二、sequence机制源代码解析Sequences类继承关系sequence相关类的源码文件介绍uvm_sequence_item.svh文件uvm_sequence_base.svh文件uvm_sequence.svhconfig_db方式发起
- UVM的guideline
谷公子的藏经阁
UVMMentor指导手册systemverilog芯片
UVM库是类的集合,它通过提供如何使用SystemVerilog中的功能结构,使SystemVerilog语言使用起来更为通用顺畅。然而,在许多情况下,UVM提供多种机制来完成相同的工作。因此,Mentor提供了一些使用UVM的建议,意在帮忙大家更有效率的使用UVM。1.在package里定义class,其它package需要的话,采用import的方式导入类。不要使用include的方式将同一个
- 你真的了解UVM sequence的运行机制吗
谷公子的藏经阁
芯片UVMsequencebodycallbacksequence_item
1.前言UVM在sequence里提供了很多的callback方法给用户,从而更灵活地完成各种复杂场景的交互和控制执行顺序。我们可能在很多情况下只使用了body()方法,本文将介绍sequence里常见的callback方法,以及在不同场景下,它们的是否被调用和调用顺序。2.start()方法参数UVM中,sequence的执行是通过调用它的start()方法,可以直接调用start()或者间接调
- UVM自学笔记:项目之五——完善agent(driver、monitor、sequencr)
IC_SH
其他systemverilog
目录1.driver的编写2.monitor代码编写:3.slave_module的完善4.跑一下testcase1.driver的编写我们将driver的所有信号都完善,并且在reset_phase执行reset_do()命令,对所有信号进行上电复位的初始化。完善好的driver代码如下:2.monitor代码编写:在编写monitor的时候,采用了和编写driver不同的方式,这种方式实现起来
- UVM自学笔记:项目之四(重难点)——具有AHB二级流水特性的高适用性driver的编写(考虑到hready为低情况)
IC_SH
systemverilogsram其他
目录1.driver写功能编写,为了验证driver的功能我们同时需要完善sequence、sequence_item、env、testcase以及模拟DUT行为的Slave_module1.1interface的编写:1.2driver写功能编写:1.2.1初始main_phase代码:1.2.2满足AHB二级流水要求的main_phase代码:1.2.3.do_drive任务代码:1.2.4.
- UVM项目之二:验证计划的编写
IC_SH
其他硬件架构
AHB_SRAMC验证计划1.文档信息1.1版本版本日期描述作者V1.02021/8/14从sramc_top层直接验证ZhangshuhuaiV2.02021/8/24内部模块ahb_slave_if的验证Zhangshuhuai1.2参考文档名称日期作者基于AHB总线的SRAM控制器设计的需求分析.doc未知未知基于AHB总线的SRAM控制器架构设计.doc未知未知基于AHB总线的SRAM控制
- UVM项目自学笔记之一:阅读design specification
IC_SH
其他硬件架构arm开发
目录一、IC验证流程二、designspecification一、IC验证流程在此次UVM项目中,笔者将使用UVM的平台架构和编码风格实现AHB—SRAMC的验证工作。将IC验证的整个流程划分为如下阶段,并按照流程完成整个项目:1.阅读designspecification,目的:(1)学习设计的架构:包括整个soc架构,待验的IP架构(2)掌握设计功能:对功能的理解和把握至关重要,这影响了我们整
- 关于uvm_subscriber
禅空心已寂
前端
目录summary:Ports:analysis_exportMethods:newwritesummary:Thisclassprovidesananalysisexportforreceivingtransactionsfromaconnectedanalysisexport.Makingsuchaconnection“subscribes”thiscomponenttoanytransact
- uvm_component---super.xxx_phase
li_li_li_1202
对于build_phase来说,uvm_component对其做的最重要的事情就是自动获取通过config_db::set设置的参数。如果要关掉这个功能,可以在自己的build_phase中不调用super.build_phase。参考链接:https://www.cnblogs.com/xuqing125/p/15761692.htmluvm_component对应的phase定义connect
- 29 UVM Command Line Processor (CLP)
小邦是名小ICer
UVMvlsiverify_uvm
随着设计和验证环境的复杂性增加,编译时间也增加了,这也影响了验证时间。因此,需要对其进行优化,以便在不强制重新编译的情况下考虑新的配置或参数。我们已经看到了functionortask如何基于传递参数进行行为。类似地,UVM提供了一个接口来提供命令行参数,从而提供了灵活性,在“uvm_cmdline_processor”类的帮助下可以避免重新编译testbench。它允许使用不同的配置运行测试。u
- 30 UVM Adder Testbench Example
小邦是名小ICer
UVMvlsiverify_uvm
1AdderDesign加法器设计在时钟的上升沿产生两个变量的加法。复位信号用于clearout信号。注:加法器可以很容易地用组合逻辑开发。引入时钟和重置,使其具有测试台代码中时钟和重置的样子/风格。moduleadder(inputclk,reset,input[7:0]in1,in2,outputreg[8:0]out);always@(posedgeclkorposedgereset)beg
- 28 Singleton Object in UVM
小邦是名小ICer
UVMvlsiverify_uvm
单例对象/singletonobject只是类的单个对象。即使用户尝试创建多个新对象,也会返回相同的对象。允许创建单个对象的类称为singleton类。在UVM中,uvm_root类只有一个实例。因此,它被称为单例类/singletonobject。1SingletonObjectUsage当需要创建单个对象并希望限制用户创建另一个对象时,单个对象非常有用。例如,可以编写配置类,使其表现为单个类。
- 27 UVM queue
小邦是名小ICer
UVMvlsiverify_uvm
uvm_queue类构建一个动态队列,该队列将按需分配并通过引用传递。uvm_queue类声明:classuvm_queue#(typeT=int)extendsuvm_object1uvm_queueclasshierarchy2uvm_queueclassMethods3UVMQueueExample在下面的示例中,组件A用于向队列中添加元素,组件B用于从同一队列中删除元素。组件A和组件B代码
- UVM高级应用
夕文x
硬件开发verilog芯片fpga开发硬件工程学习
说明:这部分内容比较零散,且很多不好理解,所以这里只能选择性介绍一些内容。使用interface代替driver部分功能方法:在interface中可以定义任务与函数,也可以使用always语句和initial语句,也可以实例化其他interface。可用其做一些低层次的转换,如8b10b转换、曼彻斯特编码等。这些转换动作是与transaction完全无关的。好处:让driver从底层繁杂的数据处
- UVM中factory机制的本质
夕文x
硬件开发芯片fpga开发verilog硬件工程学习
factory机制的本质是什么?factory机制本质是对SystemVerilog中new函数的重载,其带来了如下好处:提供新的创建实例的方法:根据类名创建这个类的一个实例。应用:根据run_test的参数AAA创建了一个AAA的实例提供重载过程控制函数,使得重载更加灵活,代码复用性更方便。应用:通过重载transaction、sequence或component,复用原有代码,快速创建新测试用
- UVM中代码的callback机制
夕文x
硬件开发芯片fpga开发verilog硬件工程学习
对callback机制的理解:类似程序中中断异常处理的概念,而callback就相当于在程序某个位置插入了中断点,当UVM执行过程遇到callback调用时,就会调用使用者自定义实现的callback函数/任务,处理完后再返回到中断点之后继续执行。引入callback机制的目的:提高验证平台的可重用性,相同内容放在env中,不同内容通过callback引出,由使用者依据不同需求自定义实现。构建异常
- 21 UVM printer
小邦是名小ICer
UVMvlsiverify_uvm
uvm_printer类提供了以不同格式打印uvm_objects的灵活性。我们已经讨论了使用`uvm_field_*宏的print()方法,或者如果不使用utils_begin/end宏,则编写do_print()方法。UVMprinter提供四种内置printer。uvm_printeruvm_table_printeruvm_tree_printeruvm_line_printer1uvm_
- 19 UVM Subscriber
小邦是名小ICer
UVMvlsiverify_uvm
uvm_subscriber类提供与analysisport连接的analysisexport。顾名思义,它订阅广播器,即analysisport来接收broadcastedtransactions。uvm_subscriber派生自uvm_component并在类中添加了analysis_export端口。用户定义的subscriber派生自uvm_subscriber,必须定义write方法(
- 20 UVM comparer
小邦是名小ICer
UVMvlsiverify_uvm
在uvm_object中,我们讨论了print、clone、copy、compare方法等。compare()方法比较两个对象,如果比较成功则返回1。uvm_comparer添加比较策略并计算错误比较的数量(如果有)。1Variablesinuvm_comparerclass注:physical和abstract位用来区别两个不同类别域的对象。2Methodsinuvm_comparerclass
- Nginx负载均衡
510888780
nginx应用服务器
Nginx负载均衡一些基础知识:
nginx 的 upstream目前支持 4 种方式的分配
1)、轮询(默认)
每个请求按时间顺序逐一分配到不同的后端服务器,如果后端服务器down掉,能自动剔除。
2)、weight
指定轮询几率,weight和访问比率成正比
- RedHat 6.4 安装 rabbitmq
bylijinnan
erlangrabbitmqredhat
在 linux 下安装软件就是折腾,首先是测试机不能上外网要找运维开通,开通后发现测试机的 yum 不能使用于是又要配置 yum 源,最后安装 rabbitmq 时也尝试了两种方法最后才安装成功
机器版本:
[root@redhat1 rabbitmq]# lsb_release
LSB Version: :base-4.0-amd64:base-4.0-noarch:core
- FilenameUtils工具类
eksliang
FilenameUtilscommon-io
转载请出自出处:http://eksliang.iteye.com/blog/2217081 一、概述
这是一个Java操作文件的常用库,是Apache对java的IO包的封装,这里面有两个非常核心的类FilenameUtils跟FileUtils,其中FilenameUtils是对文件名操作的封装;FileUtils是文件封装,开发中对文件的操作,几乎都可以在这个框架里面找到。 非常的好用。
- xml文件解析SAX
不懂事的小屁孩
xml
xml文件解析:xml文件解析有四种方式,
1.DOM生成和解析XML文档(SAX是基于事件流的解析)
2.SAX生成和解析XML文档(基于XML文档树结构的解析)
3.DOM4J生成和解析XML文档
4.JDOM生成和解析XML
本文章用第一种方法进行解析,使用android常用的DefaultHandler
import org.xml.sax.Attributes;
- 通过定时任务执行mysql的定期删除和新建分区,此处是按日分区
酷的飞上天空
mysql
使用python脚本作为命令脚本,linux的定时任务来每天定时执行
#!/usr/bin/python
# -*- coding: utf8 -*-
import pymysql
import datetime
import calendar
#要分区的表
table_name = 'my_table'
#连接数据库的信息
host,user,passwd,db =
- 如何搭建数据湖架构?听听专家的意见
蓝儿唯美
架构
Edo Interactive在几年前遇到一个大问题:公司使用交易数据来帮助零售商和餐馆进行个性化促销,但其数据仓库没有足够时间去处理所有的信用卡和借记卡交易数据
“我们要花费27小时来处理每日的数据量,”Edo主管基础设施和信息系统的高级副总裁Tim Garnto说道:“所以在2013年,我们放弃了现有的基于PostgreSQL的关系型数据库系统,使用了Hadoop集群作为公司的数
- spring学习——控制反转与依赖注入
a-john
spring
控制反转(Inversion of Control,英文缩写为IoC)是一个重要的面向对象编程的法则来削减计算机程序的耦合问题,也是轻量级的Spring框架的核心。 控制反转一般分为两种类型,依赖注入(Dependency Injection,简称DI)和依赖查找(Dependency Lookup)。依赖注入应用比较广泛。
- 用spool+unixshell生成文本文件的方法
aijuans
xshell
例如我们把scott.dept表生成文本文件的语句写成dept.sql,内容如下:
set pages 50000;
set lines 200;
set trims on;
set heading off;
spool /oracle_backup/log/test/dept.lst;
select deptno||','||dname||','||loc
- 1、基础--名词解析(OOA/OOD/OOP)
asia007
学习基础知识
OOA:Object-Oriented Analysis(面向对象分析方法)
是在一个系统的开发过程中进行了系统业务调查以后,按照面向对象的思想来分析问题。OOA与结构化分析有较大的区别。OOA所强调的是在系统调查资料的基础上,针对OO方法所需要的素材进行的归类分析和整理,而不是对管理业务现状和方法的分析。
OOA(面向对象的分析)模型由5个层次(主题层、对象类层、结构层、属性层和服务层)
- 浅谈java转成json编码格式技术
百合不是茶
json编码java转成json编码
json编码;是一个轻量级的数据存储和传输的语言
在java中需要引入json相关的包,引包方式在工程的lib下就可以了
JSON与JAVA数据的转换(JSON 即 JavaScript Object Natation,它是一种轻量级的数据交换格式,非
常适合于服务器与 JavaScript 之间的数据的交
- web.xml之Spring配置(基于Spring+Struts+Ibatis)
bijian1013
javaweb.xmlSSIspring配置
指定Spring配置文件位置
<context-param>
<param-name>contextConfigLocation</param-name>
<param-value>
/WEB-INF/spring-dao-bean.xml,/WEB-INF/spring-resources.xml,
/WEB-INF/
- Installing SonarQube(Fail to download libraries from server)
sunjing
InstallSonar
1. Download and unzip the SonarQube distribution
2. Starting the Web Server
The default port is "9000" and the context path is "/". These values can be changed in &l
- 【MongoDB学习笔记十一】Mongo副本集基本的增删查
bit1129
mongodb
一、创建复本集
假设mongod,mongo已经配置在系统路径变量上,启动三个命令行窗口,分别执行如下命令:
mongod --port 27017 --dbpath data1 --replSet rs0
mongod --port 27018 --dbpath data2 --replSet rs0
mongod --port 27019 -
- Anychart图表系列二之执行Flash和HTML5渲染
白糖_
Flash
今天介绍Anychart的Flash和HTML5渲染功能
HTML5
Anychart从6.0第一个版本起,已经逐渐开始支持各种图的HTML5渲染效果了,也就是说即使你没有安装Flash插件,只要浏览器支持HTML5,也能看到Anychart的图形(不过这些是需要做一些配置的)。
这里要提醒下大家,Anychart6.0版本对HTML5的支持还不算很成熟,目前还处于
- Laravel版本更新异常4.2.8-> 4.2.9 Declaration of ... CompilerEngine ... should be compa
bozch
laravel
昨天在为了把laravel升级到最新的版本,突然之间就出现了如下错误:
ErrorException thrown with message "Declaration of Illuminate\View\Engines\CompilerEngine::handleViewException() should be compatible with Illuminate\View\Eng
- 编程之美-NIM游戏分析-石头总数为奇数时如何保证先动手者必胜
bylijinnan
编程之美
import java.util.Arrays;
import java.util.Random;
public class Nim {
/**编程之美 NIM游戏分析
问题:
有N块石头和两个玩家A和B,玩家A先将石头随机分成若干堆,然后按照BABA...的顺序不断轮流取石头,
能将剩下的石头一次取光的玩家获胜,每次取石头时,每个玩家只能从若干堆石头中任选一堆,
- lunce创建索引及简单查询
chengxuyuancsdn
查询创建索引lunce
import java.io.File;
import java.io.IOException;
import org.apache.lucene.analysis.Analyzer;
import org.apache.lucene.analysis.standard.StandardAnalyzer;
import org.apache.lucene.document.Docume
- [IT与投资]坚持独立自主的研究核心技术
comsci
it
和别人合作开发某项产品....如果互相之间的技术水平不同,那么这种合作很难进行,一般都会成为强者控制弱者的方法和手段.....
所以弱者,在遇到技术难题的时候,最好不要一开始就去寻求强者的帮助,因为在我们这颗星球上,生物都有一种控制其
- flashback transaction闪回事务查询
daizj
oraclesql闪回事务
闪回事务查询有别于闪回查询的特点有以下3个:
(1)其正常工作不但需要利用撤销数据,还需要事先启用最小补充日志。
(2)返回的结果不是以前的“旧”数据,而是能够将当前数据修改为以前的样子的撤销SQL(Undo SQL)语句。
(3)集中地在名为flashback_transaction_query表上查询,而不是在各个表上通过“as of”或“vers
- Java I/O之FilenameFilter类列举出指定路径下某个扩展名的文件
游其是你
FilenameFilter
这是一个FilenameFilter类用法的例子,实现的列举出“c:\\folder“路径下所有以“.jpg”扩展名的文件。 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28
- C语言学习五函数,函数的前置声明以及如何在软件开发中合理的设计函数来解决实际问题
dcj3sjt126com
c
# include <stdio.h>
int f(void) //括号中的void表示该函数不能接受数据,int表示返回的类型为int类型
{
return 10; //向主调函数返回10
}
void g(void) //函数名前面的void表示该函数没有返回值
{
//return 10; //error 与第8行行首的void相矛盾
}
in
- 今天在测试环境使用yum安装,遇到一个问题: Error: Cannot retrieve metalink for repository: epel. Pl
dcj3sjt126com
centos
今天在测试环境使用yum安装,遇到一个问题:
Error: Cannot retrieve metalink for repository: epel. Please verify its path and try again
处理很简单,修改文件“/etc/yum.repos.d/epel.repo”, 将baseurl的注释取消, mirrorlist注释掉。即可。
&n
- 单例模式
shuizhaosi888
单例模式
单例模式 懒汉式
public class RunMain {
/**
* 私有构造
*/
private RunMain() {
}
/**
* 内部类,用于占位,只有
*/
private static class SingletonRunMain {
priv
- Spring Security(09)——Filter
234390216
Spring Security
Filter
目录
1.1 Filter顺序
1.2 添加Filter到FilterChain
1.3 DelegatingFilterProxy
1.4 FilterChainProxy
1.5
- 公司项目NODEJS实践0.1
逐行分析JS源代码
mongodbnginxubuntunodejs
一、前言
前端如何独立用nodeJs实现一个简单的注册、登录功能,是不是只用nodejs+sql就可以了?其实是可以实现,但离实际应用还有距离,那要怎么做才是实际可用的。
网上有很多nod
- java.lang.Math
liuhaibo_ljf
javaMathlang
System.out.println(Math.PI);
System.out.println(Math.abs(1.2));
System.out.println(Math.abs(1.2));
System.out.println(Math.abs(1));
System.out.println(Math.abs(111111111));
System.out.println(Mat
- linux下时间同步
nonobaba
ntp
今天在linux下做hbase集群的时候,发现hmaster启动成功了,但是用hbase命令进入shell的时候报了一个错误 PleaseHoldException: Master is initializing,查看了日志,大致意思是说master和slave时间不同步,没办法,只好找一种手动同步一下,后来发现一共部署了10来台机器,手动同步偏差又比较大,所以还是从网上找现成的解决方
- ZooKeeper3.4.6的集群部署
roadrunners
zookeeper集群部署
ZooKeeper是Apache的一个开源项目,在分布式服务中应用比较广泛。它主要用来解决分布式应用中经常遇到的一些数据管理问题,如:统一命名服务、状态同步、集群管理、配置文件管理、同步锁、队列等。这里主要讲集群中ZooKeeper的部署。
1、准备工作
我们准备3台机器做ZooKeeper集群,分别在3台机器上创建ZooKeeper需要的目录。
数据存储目录
- Java高效读取大文件
tomcat_oracle
java
读取文件行的标准方式是在内存中读取,Guava 和Apache Commons IO都提供了如下所示快速读取文件行的方法: Files.readLines(new File(path), Charsets.UTF_8); FileUtils.readLines(new File(path)); 这种方法带来的问题是文件的所有行都被存放在内存中,当文件足够大时很快就会导致
- 微信支付api返回的xml转换为Map的方法
xu3508620
xmlmap微信api
举例如下:
<xml>
<return_code><![CDATA[SUCCESS]]></return_code>
<return_msg><![CDATA[OK]]></return_msg>
<appid><