- UVM TLM之analysis_port的write函数
斐非韭
uvm
analysis端口analysis_port/analysis_export(analysis_imp)没有阻塞和非阻塞概念在analysis_imp所在的模块必须定义一个write函数;write函数收到的数据放到run_phase中处理需要存放到队列中并借助一个event;(example)具体过程:analysis_port的write函数会依次获取与其相连的analysis_imp,并调
- 白皮书《UVM实战》2.5.2代码详细注释(包括知识点对应页码)
元气少女大斩FPGA
fpga开发
1.my_driver.sv`ifndefMY_DRIVER__SV`defineMY_DRIVER__SVclassmy_driverextendsuvm_driver#(my_transaction);virtualmy_ifvif;//声明虚接口vif//使用uvm_component_utils宏注册到factory`uvm_component_utils(my_driver)//构造函数
- DVT学习与使用
Dale_e
SV学习学习笔记经验分享材料工程
补充1.打开某些隐藏窗口或图标:1.DVT基本概念和示例引入1.1双击uvm_1.2_ubus,选择一个路径,点finish,dvt会自动将ubus这个例子的文件拷贝在你创建的路径下,并且自动帮助你构建项目1.2下面是创建完成后的界面1.3打开项目里的代码文件比如ubus.env.sv,可查看验证代码1.4windows——showview:打开我们需要的功能窗口,other里有其他许多未显示的功
- UVM实验4
Dale_e
SV学习笔记经验分享学习
1.实验目的将generator,driver与test的关系最终移植为sequence,sequencer,driver和test的关系,聚焦于sequence和sequencer的使用。2.实验过程2.1driver与sequencer的改建1.验证结构框图2.实际代码以chnl_pkg为例:classchnl_driverextendsuvm_driver#(chnl_trans);//dr
- UVM实验3
Dale_e
SV学习材料工程笔记经验分享学习
1.实验目的1.在之前的monitor到checker的通信,以及checker与referencemodel之间的通信,都是通过mailbox以及在上层进行其句柄的传递实现的。我们在接下来的实验要求中,需要大家使用TLM端口进行通信,做逐步的通信元素和方法的替换2.涉及到通信的有各个agent里的monitor和mcdf_checker的mailbox的通信;以及mcdf_fefmod和mcdf
- UVM实验2
Dale_e
SV学习笔记经验分享材料工程
1.代码讲解1.1问题1:为什么要用$cast(),以及为什么要用void’()taskdo_drive();chnl_transreq,rsp;@(posedgeintf.rstn);foreverbeginthis.req_mb.get(req);this.chnl_write(req);void'($cast(rsp,req.clone()));//req.clone调用的核心基类的方法,永
- UVM功能覆盖率(二) —— 基本语法介绍
hh199203
UVMUVM功能覆盖率systemverilog基本语法
文章目录前言一、覆盖组covergroup二、覆盖点coverpoints2.1iff关键字2.2default关键字2.3bins关键字2.3.1带参数的bins2.4with关键字2.5wildcard关键字2.6ignore_bins关键字2.7illegal_bins关键字2.8一些异常情况的举例分析三、交叉覆盖率cross3.1cross关键字3.2binsof和intersect关键字
- UVM中打印格式及其控制方法
hh199203
UVMUVMsystemverilogsformatf打印格式
文章目录前言一、UVM中提供的打印宏二、UVM打印宏打印参数三、命令行控制打印信息总结前言在基于UVM的验证环境中写代码的时候,经常需要打印一些参数进行调试。本文主要总结一下,在UVM中如何去打印,以及如何去控制打印信息。一、UVM中提供的打印宏如下图所示,uvm中提供了`uvm_info、`uvm_warning、`uvm_error、`uvm_fatal这四个宏,分别用于打印不同严重等级的信息
- 【数字IC精品文章收录】近500篇文章-学习路线-基础知识-接口-总线-脚本语言-芯片求职-安全-EDA-工具-低功耗设计-Verilog-低功耗-STA-设计-验证-FPGA-架构-AMBA-书籍-
程序员负总裁
学习安全fpga开发
数字IC全站文章索引demo版(建议收藏慢慢看)*一、项目说明*1.1索引目的1.2收录原则1.3投稿方式1.4版本迭代二、数字IC学习路线三、通用技能篇*3.1数字电路3.2硬件描述语言(Verilog)3.3linux操作系统3.4C语言3.5微机原理3.6汇编语言3.7计算机组成原理3.8计算机体系架构3.9STA静态时序分析3.10SystemVerilog3.11UVM3.12SVA3.
- UVM实战笔记(七)
搬砖小张
UVM实战fpga开发学习硬件工程
第七章.UVM中的寄存器模型7.1寄存器模型简介7.1.1带寄存器配置总线的DUT本章节使用的DUT带寄存器配置,代码如下:moduledut(clk,rst_n,bus_cmd_valid,bus_op,bus_addr,bus_wr_data,bus_rd_data,rxd,rx_dv,txd,tx_en)inputclk;//systemclockinputrst_n;//systemres
- 关于uvm_reg_predictor #() predictor
禅空心已寂
前端uvmreg_predictorIC验证
1、ExplicitRegisterPredictor`uvm_reg_predictor`类定义了一个预测器组件,用于基于在物理总线上显式观察到的事务来更新寄存器模型的镜像值。2、uvm_reg_predictor根据观察到的总线事务更新寄存器模型的镜像值。该类将观察到的总线事务(类型为BUSTYPE)转换为通用寄存器事务,通过总线地址确定正在访问的寄存器,然后根据寄存器的访问模式使用观察到的总
- 【UVM源码】sequence机制使用方法和源代码解析
飓风_数字IC验证
UVM基础理论硬件工程
本文目录一、sequence机制使用方法(1)sequence的body方法开发:(2)sequence启动方式:(3)virtualsequencer二、sequence机制源代码解析Sequences类继承关系sequence相关类的源码文件介绍uvm_sequence_item.svh文件uvm_sequence_base.svh文件uvm_sequence.svhconfig_db方式发起
- UVM的guideline
谷公子的藏经阁
UVMMentor指导手册systemverilog芯片
UVM库是类的集合,它通过提供如何使用SystemVerilog中的功能结构,使SystemVerilog语言使用起来更为通用顺畅。然而,在许多情况下,UVM提供多种机制来完成相同的工作。因此,Mentor提供了一些使用UVM的建议,意在帮忙大家更有效率的使用UVM。1.在package里定义class,其它package需要的话,采用import的方式导入类。不要使用include的方式将同一个
- 你真的了解UVM sequence的运行机制吗
谷公子的藏经阁
芯片UVMsequencebodycallbacksequence_item
1.前言UVM在sequence里提供了很多的callback方法给用户,从而更灵活地完成各种复杂场景的交互和控制执行顺序。我们可能在很多情况下只使用了body()方法,本文将介绍sequence里常见的callback方法,以及在不同场景下,它们的是否被调用和调用顺序。2.start()方法参数UVM中,sequence的执行是通过调用它的start()方法,可以直接调用start()或者间接调
- UVM自学笔记:项目之五——完善agent(driver、monitor、sequencr)
IC_SH
其他systemverilog
目录1.driver的编写2.monitor代码编写:3.slave_module的完善4.跑一下testcase1.driver的编写我们将driver的所有信号都完善,并且在reset_phase执行reset_do()命令,对所有信号进行上电复位的初始化。完善好的driver代码如下:2.monitor代码编写:在编写monitor的时候,采用了和编写driver不同的方式,这种方式实现起来
- UVM自学笔记:项目之四(重难点)——具有AHB二级流水特性的高适用性driver的编写(考虑到hready为低情况)
IC_SH
systemverilogsram其他
目录1.driver写功能编写,为了验证driver的功能我们同时需要完善sequence、sequence_item、env、testcase以及模拟DUT行为的Slave_module1.1interface的编写:1.2driver写功能编写:1.2.1初始main_phase代码:1.2.2满足AHB二级流水要求的main_phase代码:1.2.3.do_drive任务代码:1.2.4.
- UVM项目之二:验证计划的编写
IC_SH
其他硬件架构
AHB_SRAMC验证计划1.文档信息1.1版本版本日期描述作者V1.02021/8/14从sramc_top层直接验证ZhangshuhuaiV2.02021/8/24内部模块ahb_slave_if的验证Zhangshuhuai1.2参考文档名称日期作者基于AHB总线的SRAM控制器设计的需求分析.doc未知未知基于AHB总线的SRAM控制器架构设计.doc未知未知基于AHB总线的SRAM控制
- UVM项目自学笔记之一:阅读design specification
IC_SH
其他硬件架构arm开发
目录一、IC验证流程二、designspecification一、IC验证流程在此次UVM项目中,笔者将使用UVM的平台架构和编码风格实现AHB—SRAMC的验证工作。将IC验证的整个流程划分为如下阶段,并按照流程完成整个项目:1.阅读designspecification,目的:(1)学习设计的架构:包括整个soc架构,待验的IP架构(2)掌握设计功能:对功能的理解和把握至关重要,这影响了我们整
- 关于uvm_subscriber
禅空心已寂
前端
目录summary:Ports:analysis_exportMethods:newwritesummary:Thisclassprovidesananalysisexportforreceivingtransactionsfromaconnectedanalysisexport.Makingsuchaconnection“subscribes”thiscomponenttoanytransact
- uvm_component---super.xxx_phase
li_li_li_1202
对于build_phase来说,uvm_component对其做的最重要的事情就是自动获取通过config_db::set设置的参数。如果要关掉这个功能,可以在自己的build_phase中不调用super.build_phase。参考链接:https://www.cnblogs.com/xuqing125/p/15761692.htmluvm_component对应的phase定义connect
- 29 UVM Command Line Processor (CLP)
小邦是名小ICer
UVMvlsiverify_uvm
随着设计和验证环境的复杂性增加,编译时间也增加了,这也影响了验证时间。因此,需要对其进行优化,以便在不强制重新编译的情况下考虑新的配置或参数。我们已经看到了functionortask如何基于传递参数进行行为。类似地,UVM提供了一个接口来提供命令行参数,从而提供了灵活性,在“uvm_cmdline_processor”类的帮助下可以避免重新编译testbench。它允许使用不同的配置运行测试。u
- 30 UVM Adder Testbench Example
小邦是名小ICer
UVMvlsiverify_uvm
1AdderDesign加法器设计在时钟的上升沿产生两个变量的加法。复位信号用于clearout信号。注:加法器可以很容易地用组合逻辑开发。引入时钟和重置,使其具有测试台代码中时钟和重置的样子/风格。moduleadder(inputclk,reset,input[7:0]in1,in2,outputreg[8:0]out);always@(posedgeclkorposedgereset)beg
- 28 Singleton Object in UVM
小邦是名小ICer
UVMvlsiverify_uvm
单例对象/singletonobject只是类的单个对象。即使用户尝试创建多个新对象,也会返回相同的对象。允许创建单个对象的类称为singleton类。在UVM中,uvm_root类只有一个实例。因此,它被称为单例类/singletonobject。1SingletonObjectUsage当需要创建单个对象并希望限制用户创建另一个对象时,单个对象非常有用。例如,可以编写配置类,使其表现为单个类。
- 27 UVM queue
小邦是名小ICer
UVMvlsiverify_uvm
uvm_queue类构建一个动态队列,该队列将按需分配并通过引用传递。uvm_queue类声明:classuvm_queue#(typeT=int)extendsuvm_object1uvm_queueclasshierarchy2uvm_queueclassMethods3UVMQueueExample在下面的示例中,组件A用于向队列中添加元素,组件B用于从同一队列中删除元素。组件A和组件B代码
- UVM高级应用
夕文x
硬件开发verilog芯片fpga开发硬件工程学习
说明:这部分内容比较零散,且很多不好理解,所以这里只能选择性介绍一些内容。使用interface代替driver部分功能方法:在interface中可以定义任务与函数,也可以使用always语句和initial语句,也可以实例化其他interface。可用其做一些低层次的转换,如8b10b转换、曼彻斯特编码等。这些转换动作是与transaction完全无关的。好处:让driver从底层繁杂的数据处
- UVM中factory机制的本质
夕文x
硬件开发芯片fpga开发verilog硬件工程学习
factory机制的本质是什么?factory机制本质是对SystemVerilog中new函数的重载,其带来了如下好处:提供新的创建实例的方法:根据类名创建这个类的一个实例。应用:根据run_test的参数AAA创建了一个AAA的实例提供重载过程控制函数,使得重载更加灵活,代码复用性更方便。应用:通过重载transaction、sequence或component,复用原有代码,快速创建新测试用
- UVM中代码的callback机制
夕文x
硬件开发芯片fpga开发verilog硬件工程学习
对callback机制的理解:类似程序中中断异常处理的概念,而callback就相当于在程序某个位置插入了中断点,当UVM执行过程遇到callback调用时,就会调用使用者自定义实现的callback函数/任务,处理完后再返回到中断点之后继续执行。引入callback机制的目的:提高验证平台的可重用性,相同内容放在env中,不同内容通过callback引出,由使用者依据不同需求自定义实现。构建异常
- 21 UVM printer
小邦是名小ICer
UVMvlsiverify_uvm
uvm_printer类提供了以不同格式打印uvm_objects的灵活性。我们已经讨论了使用`uvm_field_*宏的print()方法,或者如果不使用utils_begin/end宏,则编写do_print()方法。UVMprinter提供四种内置printer。uvm_printeruvm_table_printeruvm_tree_printeruvm_line_printer1uvm_
- 19 UVM Subscriber
小邦是名小ICer
UVMvlsiverify_uvm
uvm_subscriber类提供与analysisport连接的analysisexport。顾名思义,它订阅广播器,即analysisport来接收broadcastedtransactions。uvm_subscriber派生自uvm_component并在类中添加了analysis_export端口。用户定义的subscriber派生自uvm_subscriber,必须定义write方法(
- 20 UVM comparer
小邦是名小ICer
UVMvlsiverify_uvm
在uvm_object中,我们讨论了print、clone、copy、compare方法等。compare()方法比较两个对象,如果比较成功则返回1。uvm_comparer添加比较策略并计算错误比较的数量(如果有)。1Variablesinuvm_comparerclass注:physical和abstract位用来区别两个不同类别域的对象。2Methodsinuvm_comparerclass
- SQL的各种连接查询
xieke90
UNION ALLUNION外连接内连接JOIN
一、内连接
概念:内连接就是使用比较运算符根据每个表共有的列的值匹配两个表中的行。
内连接(join 或者inner join )
SQL语法:
select * fron
- java编程思想--复用类
百合不是茶
java继承代理组合final类
复用类看着标题都不知道是什么,再加上java编程思想翻译的比价难懂,所以知道现在才看这本软件界的奇书
一:组合语法:就是将对象的引用放到新类中即可
代码:
package com.wj.reuse;
/**
*
* @author Administrator 组
- [开源与生态系统]国产CPU的生态系统
comsci
cpu
计算机要从娃娃抓起...而孩子最喜欢玩游戏....
要让国产CPU在国内市场形成自己的生态系统和产业链,国家和企业就不能够忘记游戏这个非常关键的环节....
投入一些资金和资源,人力和政策,让游
- JVM内存区域划分Eden Space、Survivor Space、Tenured Gen,Perm Gen解释
商人shang
jvm内存
jvm区域总体分两类,heap区和非heap区。heap区又分:Eden Space(伊甸园)、Survivor Space(幸存者区)、Tenured Gen(老年代-养老区)。 非heap区又分:Code Cache(代码缓存区)、Perm Gen(永久代)、Jvm Stack(java虚拟机栈)、Local Method Statck(本地方法栈)。
HotSpot虚拟机GC算法采用分代收
- 页面上调用 QQ
oloz
qq
<A href="tencent://message/?uin=707321921&Site=有事Q我&Menu=yes">
<img style="border:0px;" src=http://wpa.qq.com/pa?p=1:707321921:1></a>
- 一些问题
文强chu
问题
1.eclipse 导出 doc 出现“The Javadoc command does not exist.” javadoc command 选择 jdk/bin/javadoc.exe 2.tomcate 配置 web 项目 .....
SQL:3.mysql * 必须得放前面 否则 select&nbs
- 生活没有安全感
小桔子
生活孤独安全感
圈子好小,身边朋友没几个,交心的更是少之又少。在深圳,除了男朋友,没几个亲密的人。不知不觉男朋友成了唯一的依靠,毫不夸张的说,业余生活的全部。现在感情好,也很幸福的。但是说不准难免人心会变嘛,不发生什么大家都乐融融,发生什么很难处理。我想说如果不幸被分手(无论原因如何),生活难免变化很大,在深圳,我没交心的朋友。明
- php 基础语法
aichenglong
php 基本语法
1 .1 php变量必须以$开头
<?php
$a=” b”;
echo
?>
1 .2 php基本数据库类型 Integer float/double Boolean string
1 .3 复合数据类型 数组array和对象 object
1 .4 特殊数据类型 null 资源类型(resource) $co
- mybatis tools 配置详解
AILIKES
mybatis
MyBatis Generator中文文档
MyBatis Generator中文文档地址:
http://generator.sturgeon.mopaas.com/
该中文文档由于尽可能和原文内容一致,所以有些地方如果不熟悉,看中文版的文档的也会有一定的障碍,所以本章根据该中文文档以及实际应用,使用通俗的语言来讲解详细的配置。
本文使用Markdown进行编辑,但是博客显示效
- 继承与多态的探讨
百合不是茶
JAVA面向对象 继承 对象
继承 extends 多态
继承是面向对象最经常使用的特征之一:继承语法是通过继承发、基类的域和方法 //继承就是从现有的类中生成一个新的类,这个新类拥有现有类的所有extends是使用继承的关键字:
在A类中定义属性和方法;
class A{
//定义属性
int age;
//定义方法
public void go
- JS的undefined与null的实例
bijian1013
JavaScriptJavaScript
<form name="theform" id="theform">
</form>
<script language="javascript">
var a
alert(typeof(b)); //这里提示undefined
if(theform.datas
- TDD实践(一)
bijian1013
java敏捷TDD
一.TDD概述
TDD:测试驱动开发,它的基本思想就是在开发功能代码之前,先编写测试代码。也就是说在明确要开发某个功能后,首先思考如何对这个功能进行测试,并完成测试代码的编写,然后编写相关的代码满足这些测试用例。然后循环进行添加其他功能,直到完全部功能的开发。
- [Maven学习笔记十]Maven Profile与资源文件过滤器
bit1129
maven
什么是Maven Profile
Maven Profile的含义是针对编译打包环境和编译打包目的配置定制,可以在不同的环境上选择相应的配置,例如DB信息,可以根据是为开发环境编译打包,还是为生产环境编译打包,动态的选择正确的DB配置信息
Profile的激活机制
1.Profile可以手工激活,比如在Intellij Idea的Maven Project视图中可以选择一个P
- 【Hive八】Hive用户自定义生成表函数(UDTF)
bit1129
hive
1. 什么是UDTF
UDTF,是User Defined Table-Generating Functions,一眼看上去,貌似是用户自定义生成表函数,这个生成表不应该理解为生成了一个HQL Table, 貌似更应该理解为生成了类似关系表的二维行数据集
2. 如何实现UDTF
继承org.apache.hadoop.hive.ql.udf.generic
- tfs restful api 加auth 2.0认计
ronin47
目前思考如何给tfs的ngx-tfs api增加安全性。有如下两点:
一是基于客户端的ip设置。这个比较容易实现。
二是基于OAuth2.0认证,这个需要lua,实现起来相对于一来说,有些难度。
现在重点介绍第二种方法实现思路。
前言:我们使用Nginx的Lua中间件建立了OAuth2认证和授权层。如果你也有此打算,阅读下面的文档,实现自动化并获得收益。SeatGe
- jdk环境变量配置
byalias
javajdk
进行java开发,首先要安装jdk,安装了jdk后还要进行环境变量配置:
1、下载jdk(http://java.sun.com/javase/downloads/index.jsp),我下载的版本是:jdk-7u79-windows-x64.exe
2、安装jdk-7u79-windows-x64.exe
3、配置环境变量:右击"计算机"-->&quo
- 《代码大全》表驱动法-Table Driven Approach-2
bylijinnan
java
package com.ljn.base;
import java.io.BufferedReader;
import java.io.FileInputStream;
import java.io.InputStreamReader;
import java.util.ArrayList;
import java.util.Collections;
import java.uti
- SQL 数值四舍五入 小数点后保留2位
chicony
四舍五入
1.round() 函数是四舍五入用,第一个参数是我们要被操作的数据,第二个参数是设置我们四舍五入之后小数点后显示几位。
2.numeric 函数的2个参数,第一个表示数据长度,第二个参数表示小数点后位数。
例如:
select cast(round(12.5,2) as numeric(5,2))  
- c++运算符重载
CrazyMizzz
C++
一、加+,减-,乘*,除/ 的运算符重载
Rational operator*(const Rational &x) const{
return Rational(x.a * this->a);
}
在这里只写乘法的,加减除的写法类似
二、<<输出,>>输入的运算符重载
&nb
- hive DDL语法汇总
daizj
hive修改列DDL修改表
hive DDL语法汇总
1、对表重命名
hive> ALTER TABLE table_name RENAME TO new_table_name;
2、修改表备注
hive> ALTER TABLE table_name SET TBLPROPERTIES ('comment' = new_comm
- jbox使用说明
dcj3sjt126com
Web
参考网址:http://www.kudystudio.com/jbox/jbox-demo.html jBox v2.3 beta [
点击下载]
技术交流QQGroup:172543951 100521167
[2011-11-11] jBox v2.3 正式版
- [调整&修复] IE6下有iframe或页面有active、applet控件
- UISegmentedControl 开发笔记
dcj3sjt126com
// typedef NS_ENUM(NSInteger, UISegmentedControlStyle) {
// UISegmentedControlStylePlain, // large plain
&
- Slick生成表映射文件
ekian
scala
Scala添加SLICK进行数据库操作,需在sbt文件上添加slick-codegen包
"com.typesafe.slick" %% "slick-codegen" % slickVersion
因为我是连接SQL Server数据库,还需添加slick-extensions,jtds包
"com.typesa
- ES-TEST
gengzg
test
package com.MarkNum;
import java.io.IOException;
import java.util.Date;
import java.util.HashMap;
import java.util.Map;
import javax.servlet.ServletException;
import javax.servlet.annotation
- 为何外键不再推荐使用
hugh.wang
mysqlDB
表的关联,是一种逻辑关系,并不需要进行物理上的“硬关联”,而且你所期望的关联,其实只是其数据上存在一定的联系而已,而这种联系实际上是在设计之初就定义好的固有逻辑。
在业务代码中实现的时候,只要按照设计之初的这种固有关联逻辑来处理数据即可,并不需要在数据库层面进行“硬关联”,因为在数据库层面通过使用外键的方式进行“硬关联”,会带来很多额外的资源消耗来进行一致性和完整性校验,即使很多时候我们并不
- 领域驱动设计
julyflame
VODAO设计模式DTOpo
概念:
VO(View Object):视图对象,用于展示层,它的作用是把某个指定页面(或组件)的所有数据封装起来。
DTO(Data Transfer Object):数据传输对象,这个概念来源于J2EE的设计模式,原来的目的是为了EJB的分布式应用提供粗粒度的数据实体,以减少分布式调用的次数,从而提高分布式调用的性能和降低网络负载,但在这里,我泛指用于展示层与服务层之间的数据传输对
- 单例设计模式
hm4123660
javaSingleton单例设计模式懒汉式饿汉式
单例模式是一种常用的软件设计模式。在它的核心结构中只包含一个被称为单例类的特殊类。通过单例模式可以保证系统中一个类只有一个实例而且该实例易于外界访问,从而方便对实例个数的控制并节约系统源。如果希望在系统中某个类的对象只能存在一个,单例模式是最好的解决方案。
&nb
- logback
zhb8015
loglogback
一、logback的介绍
Logback是由log4j创始人设计的又一个开源日志组件。logback当前分成三个模块:logback-core,logback- classic和logback-access。logback-core是其它两个模块的基础模块。logback-classic是log4j的一个 改良版本。此外logback-class
- 整合Kafka到Spark Streaming——代码示例和挑战
Stark_Summer
sparkstormzookeeperPARALLELISMprocessing
作者Michael G. Noll是瑞士的一位工程师和研究员,效力于Verisign,是Verisign实验室的大规模数据分析基础设施(基础Hadoop)的技术主管。本文,Michael详细的演示了如何将Kafka整合到Spark Streaming中。 期间, Michael还提到了将Kafka整合到 Spark Streaming中的一些现状,非常值得阅读,虽然有一些信息在Spark 1.2版
- spring-master-slave-commondao
王新春
DAOspringdataSourceslavemaster
互联网的web项目,都有个特点:请求的并发量高,其中请求最耗时的db操作,又是系统优化的重中之重。
为此,往往搭建 db的 一主多从库的 数据库架构。作为web的DAO层,要保证针对主库进行写操作,对多个从库进行读操作。当然在一些请求中,为了避免主从复制的延迟导致的数据不一致性,部分的读操作也要到主库上。(这种需求一般通过业务垂直分开,比如下单业务的代码所部署的机器,读去应该也要从主库读取数