使用VIVADO 2019.1 搭建以太网接口

以太网 MAC+SGMII->GMII接口转换

以FPGA V7为例,搭建一个千兆以太网接口。运行环境为Vivado2019.1。板卡的FPGA V7与千兆网口芯片采用SGMII互联,最终实现FPGA与上位机之间的千兆网卡通信,实际实现时,V7采用MAC + GMII ->SGMII的结构。SGMII接口使用1G/2.5G Ethernet PCS/PMA or SGMII ip核,MAC层使用Tri Mode Ethernet MAC ip核。

由于MAC层IP不支持SGMII接口,因此需要GMII->SGMII的IP,MAC层IP配置如图8.11所示,具体参数请参考IP的数据手册。

使用VIVADO 2019.1 搭建以太网接口_第1张图片

图8.11 MAC层IP配置说明

使用VIVADO 2019.1 搭建以太网接口_第2张图片
图8.12 MAC层IP配置说明

PHY interface 选用internal接口,可以与SGMII ip核连接 MAC Speed 选用1000Mbps,实现千兆网口的功能

Management Type 使用标准的AXI4-Lite接口,用于配置ip内部寄存器Management Data input/output interface 勾选
使用VIVADO 2019.1 搭建以太网接口_第3张图片

图 8.13 MAC层IP配置说明

使用VIVADO 2019.1 搭建以太网接口_第4张图片

图 8.14 MAC层IP配置说明

Features配置界面使用默认选项即可

使用VIVADO 2019.1 搭建以太网接口_第5张图片

图8.15 GMII->SGMII IP配置说明

使用1G速率,SGMII核工作速率与MAC核工作速率保持一致

使用VIVADO 2019.1 搭建以太网接口_第6张图片

图8.16 GMII->SGMII IP配置说明

选择SGMII,将会生成一个SGMII->GMII桥路功能的ip核
使用VIVADO 2019.1 搭建以太网接口_第7张图片

图8.17 GMII->SGMII IP配置说明

Physical interface选项可以选择使用LVDS接口或者FPGA内部的GT接口,本例程使用了FPGA内部的GT接口,因此选择device specific transceiver
使用VIVADO 2019.1 搭建以太网接口_第8张图片

图8.18 GMII->SGMII IP配置说明
使用VIVADO 2019.1 搭建以太网接口_第9张图片

图8.18 GMII->SGMII IP配置说明
使用VIVADO 2019.1 搭建以太网接口_第10张图片

图8.19 GMII->SGMII IP配置说明

选择include shared logic in example project,方便后续使用example project搭建MAC->GMII>SGMII的程序架构。Ip核例化完成后,打开MAC核和SGMII核的example project,将两个核的example project放置在一个工程下,按照figure 3-59的方式连接两个顶层模块,即可完成这个GMII->SGMII以太网工程的构建

使用VIVADO 2019.1 搭建以太网接口_第11张图片
图8.14 bug修改说明
调试结果,链路建立数据收发截图
在这里插入图片描述

图8.20 V7千兆网口抓包调试结果,以太网TX数据
使用VIVADO 2019.1 搭建以太网接口_第12张图片

图8.21 V7千兆网口抓包调试结果,以太网RX数据

你可能感兴趣的:(fpga,以太网)