vivado仿真ddr3 ip核

文章目录

  • 一、创建配置ddr3 ip核
  • 二、配置仿真文件
    • 1.创建完成ddr3 ip核后,添加仿真模型及testbench文件
    • 2.添加头文件(ddr3_model_parameters.vh)及仿真模块文件(ddr3_model.sv)
    • 3.仿真结果

一、创建配置ddr3 ip核

vivado仿真ddr3 ip核_第1张图片

ddr3 ip核生成及配置

二、配置仿真文件

1.创建完成ddr3 ip核后,添加仿真模型及testbench文件

vivado仿真ddr3 ip核_第2张图片

初始是的仿真环境

2.添加头文件(ddr3_model_parameters.vh)及仿真模块文件(ddr3_model.sv)

vivado仿真ddr3 ip核_第3张图片

打开文件目录

vivado仿真ddr3 ip核_第4张图片

将上述4个文件都导入(尤其是sim_tb_top.v与wiredly.v)
理解:sim_tb_top中调用了example_top模块(官方自带)。example_top模块可以理解成正常的使用ddr3 ip核的module,
这里我们可以换成我们自己使用的module(m_ddr3_cache.v)。sim_tb_top中还包含了外部模拟的ddr3器件。
若自己的module(m_ddr3_cache.v)中包含其他ip,只需在工程中生成ip即可,仿真调用时无需再配置。

3.仿真结果

vivado仿真ddr3 ip核_第5张图片

仿真时序图
也可以尝试使用vivado联合modelsim仿真	

你可能感兴趣的:(XILINX,IP核,ip,fpga开发)