verdi使用

1. 保存波形信号:shift+s;

2. 读取rc文件:r;

3. 标记信号位置:shift+m;

4. 信号显示全路径:h;

5. 随机改变信号颜色:t;

6. 选择信号颜色:c;

7. 在代码中查找信号:/;

8. 查找某一个module: source -> find scope ->  scope type选择找module or file or function or task;

                                filter内输入module/file/function/task 的全称,查找;

                                instance list中选择对应的文件,go to即可;

9. 同理,可以选择find signal/instance等,方式同上;

10. 对某个信号,增加网格线,统计上升下降沿的个数,自动显示出来:

(187条消息) Verdi非常实用技巧_Angel20200620的博客-CSDN博客_verdi保存波形https://blog.csdn.net/sinat_43629962/article/details/123664144

 11. 如果想要在波形中直接显示个数,不要在网格线的下面显示个数,则:

(187条消息) Verdi非常实用技巧_Angel20200620的博客-CSDN博客_verdi保存波形https://blog.csdn.net/sinat_43629962/article/details/123664144

12. 统计某个信号在一段时间内的上升下降沿个数:view -> signal_event_report 

13. 在拉出来的信号中,筛选出input/output/inout/reg等信号:signal -> select -> input/output/net/reg....

14. 选择信号按照2进制/8进制/10进制/16进制: 右键 -> set radix -> binary/occtal/hex;

15. 将多bit信号,按照一定的宽度进行拆分:右键 -> bus operations -> expand as sub-bus;

16. 对某个信号进行移位,进行对齐;waveform -> waveform time -> shift individual signal time;

17. 对某个信号,直接看其driver/load/value change等信息:signal -> show onetrace signal -> driver/load/value change/connectivity....

18. trace x: tools -> trace x;

19. 调用verdi内部的一些apps, 用于获取一些信息,如module hierarchy,signal hierarchy等;

      => file下方工具栏,最后一个apps的图标,点击,然后在里面选择各种需要的信息即可;

20. 显示状态机的名字:

        a. 在verdi的RTL窗口,选择tools-->Extract Interactive FSM就可以了;

        b. 波形旁边的电路图标志,点击一下即可;

你可能感兴趣的:(verdi使用,arm开发)