VivadoAndTcl: read_checkpoint and open_checkpoint

read_checkpoint:

该命令用于简单读取dcp文件,并不会打开设计或者in-memory工程,如果需要打开设计,则需要使用命令link_design,举例如下:

read_checkpoint C:/Data/checkpoint.dcp
link_design -name Test1

如果在一个vivado tool中打开了多个dcp文件,则需要使用current_project命令来切换设计。

current_project project2

可以通过get_projects来查看当前已经打开的projects。

get_projects

open_checkpoint:

打开一个dcp文件,并创建一个新的in-memory工程并立即用dcp文件内容对其进行初始化。

open_checkpoint C:/Data/state1/checkpoint.dcp -part xc7k325tffg900-2

你可能感兴趣的:(#,VivadoAndTcl,fpga,fpga开发,硬件工程)