「HDLBits题解」Vector3

本专栏的目的是分享可以通过HDLBits仿真的Verilog代码 以提供参考 各位可同时参考我的代码和官方题解代码 或许会有所收益 

题目链接:Vector3 - HDLBits

module top_module (
    input [4:0] a, b, c, d, e, f,
    output [7:0] w, x, y, z );//

    // assign { ... } = { ... };
    assign w = {a, b[4:2]} ;
    assign x = {b[1:0], c, d[4]} ; 
    assign y = {d[3:0], e[4:1]} ; 
    assign z = {e[0], f, 2'b11} ; 

endmodule

你可能感兴趣的:(HDLBits,题解,Verilog)