E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
modelsim
quartus6.1 for linux 在ubuntu 9.04 上安装指南
ubuntu 9.04 下quartus6.1 for linux 安装教程 (1) Altera 网站上下载 软件 61_ip_linux.tar、 61_
modelsim
_ae_unix.tar
·
2015-10-31 10:15
ubuntu
在
Modelsim
中加入Quartus的仿真库[zz]
1、Quartus不支持Testbench2、调用了megafunction或者lpm库之类的Altera的函数3、时序仿真要在
Modelsim
下做仿真,总会遇到上面这几个问题,因为需要在
Modelsim
·
2015-10-31 10:15
Model
modelsim
le 6.5b 在 UBUNTU9.04上安装指南
modelsim
le 6.5b FOR LINUX 安装 教程 (1)ftp://ftp.model.com/LE/6.5b/ 下载安装 文件 install.linuxle  
·
2015-10-31 10:15
ubuntu
modelsim
仿真没有波形
a).原因:软件本身的优化问题,解决方法:在安装目录下C:\Modeltech_6.2b目录下找到
modelsim
.ini文件,将其属性改为可写即将原来选中的“可读”去掉。
·
2015-10-31 10:15
Model
modelsim
-altera IP核仿真
modelsim
仿真fifo时出现 Instantiation of 'scfifo' failed.
·
2015-10-31 09:35
Model
Tcl之load
目前想把单片机程序下载到ROM中,然后在
ModelSim
中仿真程序,得到运行结果。好二哥给出的Tcl下载文件如下,细细研读中...
·
2015-10-31 09:26
load
QS之Intro
公司里用Questa Sim做仿真,其实跟
ModelSim
差不多,总结常用的命令如下。
·
2015-10-31 09:26
int
debussy与
modelsim
的联调设置
nbsp; 前段时间看到网上有人在使用debussy软件对Verilog代码进行调试,而且都称赞其是多么的好用,看着很是馋人,说吧,现在用的是quartus与
modelsim
·
2015-10-31 09:01
Model
modelsim
的do文件
怎样添加ALTERA的元件库。今天总算可以了。 set LIBPATH D:/altera/90/quartus/eda/sim_lib vlib sim_lib vmap sim_lib sim_lib #vlog -work sim_lib $LIBPATH/altera_mf.v #vlog -work sim_lib $LIBPATH/altera_primitives.v #
·
2015-10-30 18:02
Model
MODELSIM
1
Modelsim
界面的窗口如果关闭了,可以从View菜单下打开,Workspace是例化窗口,Objects是对 应例化文件中相对应的接口,无论你的工程文件中有多少个文件,都可以一一找到它对应的接口
·
2015-10-30 18:01
Model
4、quartus联合
modelsim
仿真
在quartus调用
modelsim
仿真过程中,出现了一个错误,如下所示: Check the NativeLink log file I:/Quartus11.0/Myproject
·
2015-10-30 14:42
Model
Quartus报错之Error (10028): Can't resolve multiple constant drivers for net "iCntRst" at one_wire_bus.vhd(78)
“信号不能在多个并发进程中赋值”这是个代码的可综合方面的要求,也就是说一般综合工具会对此报错的,但从仿真角度上说是没有问题的,除非多个赋值造成冲突导致仿真无法继续,
modelsim
是纯粹的仿真工具,它不会关心代码是否可综合
·
2015-10-30 14:10
driver
如何使用
ModelSim
作前仿真与后仿真? (SOC) (Quartus II) (
ModelSim
)
(转贴) 如何使用
ModelSim
作前仿真与后仿真?
·
2015-10-30 13:02
Model
[文档]. 艾米电子 - 参数与常量,Verilog
对读者的假设 已经掌握: 可编程逻辑基础 Verilog HDL基础 使用Verilog设计的Quartus II入门指南 使用Verilog设计的
ModelSIm
入门指南
·
2015-10-30 13:08
Verilog
关于
Modelsim
仿真时不能编译`include文件解决办法【Verilog】【
Modelsim
】
问题描述: 只要用到include,编译就出错,抱怨Cannot open `include file "params.v",但是在使用params.v文件中定义的参数时,已经在调用文件中使用了“`include params.v”命令,如果在其他文件夹中进行编译,仿真器就会报出“cannot open。。。”或者找不到params.v中
·
2015-10-30 12:39
include
Modelsim
SE 仿真 ALTERA FPGA IP
Modelsim
SE 仿真 ALTERA FPGA IP 最近,有几个朋友问过我是不是有新版本的
Modelsim
altera,其原因是 Qii 升级为新版本的,但是没配套的
·
2015-10-30 11:35
Model
ModelSim
之命令行仿真入门 (step 2)
简单得
modelsim
命令行仿真 PART ONE
ModelSim
之命令行仿真入门 用do文件进行仿真真得很方便,比写testbench方便多了,采用do文件没有那么多信号定义,管理也比较方便
·
2015-10-30 10:27
Model
FFT核
Modelsim
仿真
目录一.FFT核简介...2二.FFT核的使用...2三.例子...71.设计思路...72.产生输入数据...73.FPGA设计FFT运算...104.
Modelsim
仿真...195.Matlab分析
u013056038
·
2015-10-29 19:00
FPGA
Verilog
fft
IP核
如何破解
ModelSim
6.1f? (IC Design) (
ModelSim
)
Abstract本文介紹如何破解
ModelSim
6.1f。IntroductionStep 1:直行setup.exe安裝
ModelSim
。
·
2015-10-28 09:34
design
【黑金原创教程】【
Modelsim
】【第六章】结束就是开始
黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/alinx/p/3362790.html 《FPGA那些事儿—
Modelsim
仿真技巧》完整版下载地址: http
·
2015-10-28 08:00
Model
【黑金原创教程】【
Modelsim
】【第五章】仿真就是人生
黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/alinx/p/3362790.html 《FPGA那些事儿—
Modelsim
仿真技巧》REV5.0 PDF下载地址
·
2015-10-28 08:59
Model
【黑金原创教程】【
Modelsim
】【第四章】激励文本就是仿真环境
黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/alinx/p/3362790.html 《FPGA那些事儿—
Modelsim
仿真技巧》REV4.0 PDF下载地址
·
2015-10-28 08:58
Model
【黑金原创教程】【
Modelsim
】【第三章】理想就是美丽
黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/alinx/p/3362790.html 《FPGA那些事儿—
Modelsim
仿真技巧》REV3.0 PDF下载地址
·
2015-10-28 08:57
Model
【黑金原创教程】【
Modelsim
】【第二章】
Modelsim
就是电视机
黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/alinx/p/3362790.html 《FPGA那些事儿—
Modelsim
仿真技巧》REV2.0 PDF下载地址
·
2015-10-28 08:56
Model
【黑金原创教程】【
Modelsim
】【第一章】
Modelsim
仿真的扫盲文
黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/alinx/p/3362790.html 《FPGA那些事儿—
Modelsim
仿真技巧》REV1.0 PDF下载地址
·
2015-10-28 08:55
Model
自动生成testbench的两种方法
方法一: Quartus,Processing->Start->Start Teat Bench Template Write, 选择了之后会在工程的simulation/
modelsim
·
2015-10-27 14:20
test
[笔记]Altera中FIFO
在结束
modelsim
SE仿真时,若代码未改变,你又重新进行仿真时,软件会对整个工程再次编译一次。wenfan提醒了下,我才知道是软件上可以设置未改动下,工程就不会再次编译了。
·
2015-10-27 14:16
alter
[转帖]Avalon-MM 阿窝龙妹妹应用笔记
://www.cnblogs.com/crazybingo/archive/2011/02/26/1966048.html http://www.61eda.com/Services/peixun/
Modelsim
·
2015-10-27 14:13
val
[转帖]Quartus II,
modelsim
,ISE结合应用
大家是不是被
modelsim
折磨的死去活来呢?是不是觉得在没有人指点下,学习
modelsim
就象是黑暗中摸索,everyting is black!.如果我们能直接在q
·
2015-10-27 14:10
Model
[转帖]
ModelSim
+Debussy仿真(Verilog)
来源:流程(Verilog/
Modelsim
+Debussy) http://www.eetop.cn/blog/html/39/162539-25309.html 来源:http://xucraft.blog
·
2015-10-27 14:10
Verilog
[转帖]引用 利用
ModelSim
进行的功能仿真,综合后仿真,时序仿真
fromdm&fromSearch&isFromSearchEngine=yes 本文引用自cwsxaut 《利用
ModelSim
进行的功能仿真,综合后仿真,时序仿真》 cwsxaut
·
2015-10-27 14:09
Model
[转帖]如何在Quartus II 里使用
Modelsim
(从Quartus中导出testbench为
modelsim
用)
目的当我们使用QuartusII,但是大多数朋友都习惯用
Modelsim
SE来做仿真,由于Quaruts有很多本身器件的特色,所以造成了在仿真上的麻烦,当然网路上
·
2015-10-27 14:09
Model
[转帖]
ModelSim
+Synplify+Quartus的Altera FPGA的仿真与验证
ModelSim
+Synplify+Quartus的Altera FPGA的仿真与验证 2009-09-15 18:12:39| 分类: FPGA相关 |
·
2015-10-27 14:09
Model
远程桌面访问Matlab的方法
方案一:一般情况下,matlab程序是不能在远程桌面的远程计算机上直接运行的,双击图标会产生Terminal Licence Limited类似的问题,类似的软件据说还有
Modelsim
·
2015-10-21 13:13
matlab
IC验收续
后来,一专家(可能吧)问我你用的
modelsim
?我心
·
2015-10-21 13:38
c
ubuntu网卡设置
玩了两天,突然发现
modelsim
找不到license了;用新主板的MAC地址做license拿来也不能用。 想来想去,它的license是和NIC关联的,那就是系统里还有以前NIC的信息。
·
2015-10-21 12:38
ubuntu
QS之shell script
1 Invoke Mdoelsim In order to open
Modelsim
automatically, it is better to use a shell script to
·
2015-10-21 12:51
script
ModelSim
之命令行仿真入门
下面是我们的Tcl仿真步骤:启动
ModelSim
SE, 首先看到在在
ModelSim
SE右边的窗口有
ModelSim
> 这样的提示符。
·
2015-10-21 12:32
Model
modelsim
仿真中遇到的问题
1、
modelsim
经常遇到数据位宽不相等的情况,这样往往仿真时是不会出数据的,如果用parameter定义了数据的位宽, 要注意实际的位宽数大于parameter定义参数能表示的位宽时,如: parameter
·
2015-10-21 11:51
Model
ModelSim
之命令行仿真入门 (step 1)
Verilog里的$display,$time等系统函数是在testbench中使用在transcript中查看结果的 下面是我们的Tcl仿真步骤:启动
ModelSim
SE
·
2015-10-21 11:24
Model
关于
Modelsim
的生成的文件
对于WLF波形日志文件,只要我们使用过
modelsim
,
·
2015-10-21 11:21
Model
关于quartus的FFT IP核的一些整理
整理的原理图如图所示,原理图不能用于
modelsim
仿真,所以还需要将原理图转化为Verilog语言文件,file-creat/update—>creatHDLfileformcurrentfile.便可生成原理图对应的
qianhaifeng2012
·
2015-10-21 10:00
fft
ModelSim
使用笔记1
ModelSim
提供了简单仿真方式,还有一种要建立project,目前这种方式暂时够我用了。 总结了以下,做了一个简单的《
modelsim
quick start》。
·
2015-10-21 10:50
Model
在Linux下Crack MoldeSim
俺这里安装的是
modelsim
6.5g,俺下面的方法做license完美破解,正常使用。
·
2015-10-21 10:49
linux
quartus II和
ModelSim
使用初体会
仿真软件用的是
ModelSim
SE
·
2015-10-21 10:39
Model
modelsim
显示状态机名称的方法
如下使用virtualtype脚本命令virtualtype{{0x000001IDLE}{0x000002INIT_PRE}{0x000004INIT_PRE_NOP}{0x000008INIT_REF}{0x000010INIT_REF_NOP}{0x000020INIT_MRS}{0x000040INIT_MRS_NOP}{0x000080IDLE_REF}{0x000100IDLE_REF
lg2lh
·
2015-09-08 15:00
一步步学习Python-django开发-添加后台管理
from.
modelsim
portRestaurant,Category,Order admin.site.re
蓝色冰晶
·
2015-08-30 13:00
一步步学习Python-django开发-添加后台管理
from.
modelsim
portRestaurant,Category,Order admin.site.re
蓝色冰晶
·
2015-08-30 13:00
Window上python开发--4.Django的用户登录模块User
fromdjango.contrib.auth.
modelsim
por
jackxu2015
·
2015-08-14 22:00
django Q 函数
contains=sqlstr) 这句的意思是 在 sql 中 like'%sqlstr%'3.如果filter()函数中有逗号,是代表WHEREAND 的意思首先要导入Q函数:fromdjango.db.
modelsim
portQ
weidabao123
·
2015-08-11 16:21
django
q
上一页
60
61
62
63
64
65
66
67
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他