E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
modelsim
运行Capture.exe找不到cdn_sfl401as.dll
今天运行capture Orcad16.6显示缺少cdn_sfl401as.dll,昨天运行时并没有发现这种情况,回想今天安装了
modelsim
之后才发生这种情况
·
2015-11-11 00:51
dll
【翻译】
ModelSim
指南 V (
ModelSim
)(Verilog)(Digital Logic)
7 查看和初始化内存 简介 本课将学习如何查看和初始化内存。把以下作为内存定义并列出: l 寄存器、线变量和标准逻辑数列 l 整数数列 l VHDL里除了标准逻辑之外的列举类型的信号元数列 本课所用的设计文件 安装时自带的范例。 扩展阅读 用户手册:Memory and Memory Data Windows。 命令参考:mem display,mem load, mem
·
2015-11-09 13:12
Verilog
【翻译】
ModelSim
指南 III (
ModelSIM
)(Verilog)(Digital Logic)
5 多库协作 简介 本课将练习使用多个库。通过使用第三方的库,使设计包含多个库。 本课先创建一个包含counter设计单元的资源库。然后,创建一个项目并编译测试台,链接包含counter的库,最后运行仿真。 本课用到的设计文件 范例是一个8位二进制递增计数器和相关的测试台。路径如下: Verilog – <install_dir>/examples/tutorials/v
·
2015-11-09 13:11
Verilog
【翻译】ModelSim指南 IV (ModelSim)(Verilog)(Digital Logic)
如果刚完成前面的课程,
ModelSim
就已经打开了,否则,启动
Modelsim
。 2.
·
2015-11-09 13:11
翻译
【翻译】
ModelSim
指南II(
ModelSim
)(Verilog)(digital Logical)
4 项目 简介 本课将练习创建一个项目。 项目最少包括一个工作库和存储在.mpf文件里德状态集合。一个项目可能由以下几部分组成: l HDL源文件或源文件索引 l 其他文件如说明或其他项目文档 l 本地库 l 全局库索引 本课用到的设计文件 本课范例是一个简单的8位二进制递增计数器和相应的测试台。其在如下位置: Verilog – <install_dir>
·
2015-11-09 13:10
Verilog
【翻译】
modelsim
指南 I 之基本仿真(digital logic)
Introduction 本文将一步步实现以下基本仿真流程: 创建设计工作库 编译设计单元 加载设计 运行仿真 本文用到的设计文件 本文的范例是一个8位的二进制加法计数器和相关的测试文件。保存路径: Verilog-<install_dir>/examples/tutorials/verilog/basicSimulation/counter.v
·
2015-11-09 13:53
Model
xilinx调用
modelsim
时出错
刚刚配置好xilinx环境,运行第一个代码,结果编译的时候提示“ # ** Error: (vcom-19) Failed to access library 'unisim' at "D:/Model/win32xoem/../xilinx/vhdl/unisim". # No such file or directory. ” 反正自己不会,就上网查了一下,下面给出
·
2015-11-08 16:19
Model
单独使用
modelsim
进行仿真
以例子来说明 我要用testbench lpf_direct_tb.v 来测试文件lpf_direct.v 命令行方式和图形界面两种方式都可以 1 映射库 .在编译源文件之前,创建一个库存放编译的结果. vlib lpf_direct_tb 把库映射到工作目录 vmap work lpf_direct_tb 2编译设计文件 vlog lpf_direct.
·
2015-11-08 11:01
Model
手把手教你学习FPGA系列视频教程_救护车鸣笛声
本套教程主要面对FPGA初学者,本次DIY活动不仅让初学者掌握FPGA硬件电路设计以及焊接方面的知识,更重要的是让初学者学习硬件描述语言 (VerilogHDL)描述数字电路,以及Quartus II、
Modelsim
·
2015-11-08 10:39
FPGA
django tagging基本使用
easy_installdjango-tagging二、在项目中的使用: '''引入tagging相关模块''' fromtagging.fieldsimportTagField fromtagging.
modelsim
portTag
yongbuzhixi
·
2015-11-07 19:00
django
tagging
ubuntu 14.04 安装 Quartus II 13.1 过程
第一步去官网注册然后下载对应的linux版本,包括软件和设备文件两部分,软件也就是quartus II nios ide,
modelsim
-altera这些,设备就是具体altera的芯片支持
·
2015-11-07 13:15
ubuntu
django 常用的导入
fromdjango.dbimportmodels#这个是用户常用操作fromdjango.confimportsettingsfromdjango.contrib.auth.
modelsim
portUserAUTH_USER_MODEL
苏豪
·
2015-11-06 11:00
ModelSim
中Altera仿真库的添加
转自:
ModelSim
中Altera仿真库的添加 最近,做一个IP核的调试,但是里面调用了Altera的syncram,这样
ModelSim
就不能直接进行仿真,而QuartusII又不支持Tesbbench
·
2015-11-05 09:31
Model
【翻译】
ModelSim
指南 VI (
ModelSim
)(Verilog)(Digital Logic)
8 自动仿真 简介 前面的课程主要讲使用
ModelSim
的交互模式:通过图形界面或主窗口的命令行一条条的执行单一的命令。当需要完成重复的任务时,可用DO文件提高效率。
·
2015-11-05 08:23
Verilog
Modelsim
SE 进行时序仿真及altera库的添加 【原创】
注:这篇是本人原创于2009年11月的一篇关于
Modelsim
仿真的文章,当时在使用新浪博客,后来发现博客园更加适合我就停止了原新浪博客的更新。
·
2015-11-03 22:39
Model
PCIE_DMA实例二:xapp1052的EDK仿真
所以我想了想,还是用EDK搭建一个微小系统,然后用
modelsim
来仿真xapp1052的DMA收发控制,这样应该是最全面的理解PCIe_DMA了,希望对大家都有帮助。
·
2015-11-03 22:31
APP
FPGA入门实例一:LFSR
二:前期准备: 基本上完成一个简单的设计需要用到以下几个软件 逻辑:Uedit32(硬件狗吐血推荐) 综合:ISE14.1 仿真:
Modelsim
SE 10.1b 分析:Chipscope
·
2015-11-03 22:29
FPGA
PCIE_DMA实例一:xapp1052详细使用说明
于是我们打开ISE的core_generator工具,生成了一个pcie的ip核,用
modelsim
仿真一下example design,仔细分析一下,好像对协议部分理解更深了。
·
2015-11-03 22:29
APP
Modelsim
SE 问题集锦【原创】
Modelsim
版本:
Modelsim
SE PLUS 6.5 与AE版本在建库时有很大不同。其他操作类似。详见问题一。
·
2015-11-02 15:18
Model
【原创】用
Modelsim
—Altera对LPM做前仿时Library选择应注意的一点
用
Modelsim
—Altera对LPM做前仿时,把v文件编译好之后,Simulation——>Start simulation——>Library。
·
2015-11-02 14:04
library
【整理】Ubuntu10.04下安装Altera9.1套件
1.安装前的必要准备 (1)到Altera官网上下载Altera9.1开发套件,包括QuartusII,NiosII EDS,
ModelSim
; &
·
2015-11-02 14:55
ubuntu
(笔记)在Quartus II与
ModelSim
下仿真,对计数初值的设置问题(
ModelSim
)(Quartus II)(Verilog)
Abstract 在用Quartus II与
ModelSim
分别对一个简单的分频程序进行仿真时发现了一个关于设置初值得问题 Intrduction 使用环境:Quartus II 7.2
·
2015-11-02 11:39
Verilog
(原创)呜呜祖啦滤波器FPGA实现
FPGA实现128阶FIR音频滤波器,在满足滤波要求的情况下,所耗资源最少;讨论窗函数的选择、滤波器的结构、系数的量化问题;重点在于如何去实现和如何去仿真验证,而不仅仅是理论讨论,涉及到MATLAB与
Modelsim
·
2015-11-02 10:42
FPGA
别的电脑上的ISE工程放到本电脑上后使用
ModelSim
仿真时出错的解决办法
http://blog.csdn.net/jbb0523/article/details/8038023题目:别的电脑上的ISE工程放到本电脑上后使用
ModelSim
仿真时出错的解决办法是否有这样的经历
yuan1164345228
·
2015-11-01 20:00
Modelsim
之时序仿真
利用
ModelSim
进行时序仿真(门级仿真),可以比较真实地反映出器件的延时情况,不过它也需要相关器件atom库的支持。下面就用分频器实例简要说明一下:1、在QuartusII中新建一个div工程。
·
2015-11-01 15:28
Model
ModelSim
之tcl自动化仿真
ModelSim
的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。
·
2015-11-01 15:27
Model
ModelSim
中Altera仿真库的添加
最近,做一个IP核的调试,但是里面调用了Altera的syncram,这样
ModelSim
就不能直接进行仿真,而QuartusII又不支持Tesbbench的仿真,所以为了在
ModelSim
中仿真就必须将
·
2015-11-01 15:26
Model
modelsim
-altera
一、 1. Go to the menu Tools > Options. 2. In the “General” category, select “EDA Tool Options”. 3. A dialogue box appears, where yo
·
2015-11-01 14:57
Model
[文档].艾米电子 - 使用Verilog设计的
ModelSim
入门指南
平台 软件:
ModelSim
-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用
ModelSim
仿真的基本流程为
·
2015-11-01 14:45
Verilog
[文档].艾米电子 - 使用Verilog设计的Quartus II入门指南
平台 硬件:艾米电子EP2C8-2010增强版套件 软件:Quartus II 10.0 +
ModelSim
-Altera 6.5e (Quartus II 10.0) Starter
·
2015-11-01 14:44
Verilog
怎样用
modelsim
做后仿真
怎样用
modelsim
做后仿(编译工具采用quatus) step1:在qurtus改变编译选项: assignments->EDA tool setting
·
2015-11-01 11:07
Model
[转帖]通过文件读写方式实现Matlab和
Modelsim
的联合仿真
http://blog.ednchina.com/duanwenbo2004/285466/message.aspx# 虽然
Modelsim
的功能非常强大,仿真的波形可以以多种形式进行显示
·
2015-11-01 10:32
matlab
[文档].艾米电子 - 分频器,Verilog
对读者的假设 已经掌握: 可编程逻辑基础 Verilog HDL基础 使用Verilog设计的Quartus II入门指南 使用Verilog设计的
ModelSIm
入门指南
·
2015-11-01 10:12
Verilog
[笔记].怎样使用Debussy+
ModelSim
快速查看前仿真波形
引子:
ModelSim
是HDL仿真软件,Debussy是波形查看软件;搭配使用,相当爽。此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此。两款软件的功能都很强大,请自行研究。
·
2015-11-01 10:10
Model
Quartus II调用
modelsim
无缝仿真
本篇文章为转载,写的不错,最近在学
modelsim
仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的。 Quartus 中调用
modelsim
的流程 1.
·
2015-11-01 08:08
Model
modelsim
se 10.1a 下载与破解
学HDL避免不了的要用到
modelsim
,遗憾的是至今也没怎么用,昨天下载了
modelsim
装上了,鼓捣破解鼓捣了一阵子。
·
2015-10-31 17:18
Model
VHDL学习之TEXTIO在仿真中的应用
本文介绍TEXTIO 程序包,以一个加法器实例说明TEXTIO 的使用方法,最后使用
ModelSim
对设计进行仿真,并分析仿真结果。
·
2015-10-31 15:16
text
modelsim
使用命令
1. 常用仿真命令 vlib work // 建立work仿真库 vmap work wrok // 映射库 vlog -cover bcest *.v // 加覆盖率分析的编译 vsim -coverage -voptar
·
2015-10-31 14:48
Model
Quartus II和
Modelsim
的联合仿真(详细)
这篇文章不需要在
modelsim
中建库、映射、建工程等一些繁琐的步骤,直接使用
modelsim
中的默认work库。使用quartus+
modelsim
联合仿真。
·
2015-10-31 11:55
Model
[笔记]双口RAM(DPRAM)的实现
我在
modelsim
上进行功能仿真时,产生的信号源比较有规律,特殊化了,因此有很多Bugs没有观察出来。后来用804A信号源进行测试时就发现该信号源DE有效行
·
2015-10-31 11:41
dp
[转帖]如何在quartusII中调用
modelsim
-altera_百度文库
2013-04-18 13:28:19 在使用quartusII直接链接到
modelsim
进行仿真时,出现的问题是模块与外部连接的信号找不到,原因不清楚,不过好像把这个模块的命名改成其他就可以
·
2015-10-31 11:40
Model
[笔记]ALTLVDS_TX和ALTLVDS_RX及
Modelsim
使用技巧
在洪鸿榕的调试下,我学到了
modelsim
中用字母I、O可以放大和缩小波形,在输入信号端口上右击可以强制对输入信号进行赋值,这样可以不受testbench的影响(要能
·
2015-10-31 11:40
Model
[笔记]ALTERA_PLL_test(QuartusII12.0+
Modelsim
SE6.6b)
问题一:QuartusII12.0仿真不能用的原因是没安装补丁,后面将补丁安装上但发现其配套的
Modelsim
_altera有些库没更新上,也要对
Modelsim
_altera安装相应的补丁,于是我下载并准备安装
·
2015-10-31 11:40
Model
[笔记]
modelsim
前仿后仿各种问题
我在ISE中启动
modelsim
时出现了下面的错误Loading work.tb_ic1_func# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver
·
2015-10-31 11:39
Model
[转帖]ISE与
Modelsim
联合观察中间信号
如何仿真IP核(建立
modelsim
仿真库完整解析) 来源: http://www.ednchina.com/ART_49023_19_0_AN_7116cf44.HTM IP核生成文件:(Xilinx
·
2015-10-31 11:39
Model
[笔记]debussy与
modelsim
联仿注意事项
来源:http://bbs.eetop.cn/thread-39016-1-1.html
modelsim
:将HEX文件直接读入RAM/ROM verilog只支持readmemh,在设计mcu时,
·
2015-10-31 11:38
Model
[笔记]Verilog/VHDL分频器的实现
所有实现均可通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使用的电路,并在
ModelSim
上进行验证。 二、Verilog分频器
·
2015-10-31 11:38
Verilog
利用
modelsim
仿真Altera FFT IP core所需要添加的Library
尝试用
modelsim
for altera 对Altera FFT这个IP进行仿真,反反复复始终不成功。
·
2015-10-31 11:11
library
【以前】
ModelSim
中仿真Xilinx IP核
先对题目进行说明:
ModelSim
本身是一个独立的仿真环境,不需要依赖其他的软件。
·
2015-10-31 11:13
Model
【以前】在
ModelSim
SE 6.2b中导入Xilinx ISE库文件
1.下载官网上的库文件,有两个,分别为: mxe_6.2g_9.2i_ip1_xilinxcorelib.zip, &nb
·
2015-10-31 11:13
Model
上一页
59
60
61
62
63
64
65
66
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他