E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
timescale
mp4文件相关基本概念
[mp4文件格式]获取mp4文件信息1-计算电影长度方法1从mvhd-movieheaderatom中找到
timescale
和duration,duration除以
timescale
即是整部电影的长度。
yanfake
·
2012-05-02 17:00
header
video
audio
windows XP下 iverilog+GTKWave使用(三)
在counter_tb.v文件里添加以下语句:initial begin $dumpfile("test.vcd"); $dumpvars(0,test); end最终文件如下:`
timescale
1ns
liming0931
·
2012-04-17 11:00
c
windows
XP
File
终端
FPGA的PLL倍频
测试文件如下: `
timescale
1ns/1nsmodulePLL100_vlg_tst(); regeachvec;regareset;reginclk0;
lonerzf
·
2011-08-14 18:00
实现 iPhone 游戏暂停功能的方法
一提到游戏暂停,很多人会想到Time.
timeScale
=0;这种方法,但Time.
timeScale
只是能暂停部分东西。
trandy
·
2011-07-19 11:00
游戏
vector
object
basic
iPhone
Go
测试在不同的地方对同一信号赋值。
`
timescale
10ns/1ns moduleverilogtest_sim();regclk;reg[1:0]a,b;regsel;regreset;reg[1:0]out; initialbegin
xgbing
·
2011-06-17 17:00
测试
Module
input
exe
对`
timescale
的深入理解
在顶层文件里instantiateseachmodule,本身不写`
timescale
命令,但据说对modelsim的默认情况是`
timescale
1ps/1ps,现看看波形图和
jbb0523
·
2011-05-09 18:00
c
Module
each
output
TestBench中的
timescale
时间延迟与时间精度
使用`
timescale
编译器指令将时间单位与实际时间相关联。该指令用于定义时延的单位和时延精度。
yunhuang2010
·
2010-11-22 09:00
library
编译器
output
Verilog中初始化ram/rom中的数据
1,readmem,readmemb,readmemh都可以实现:readmemh例子:`
timescale
1ns/100psmodulereadmem_tb;reg[7:0]Mem[0:'h7ff];
iteye_7333
·
2010-06-22 17:14
Verilog
Verilog中初始化ram/rom中的数据
1,readmem,readmemb,readmemh都可以实现: readmemh例子: `
timescale
1ns/100ps module readmem_tb; reg [7:0]
xmind
·
2010-06-22 17:00
Verilog
Verilog中for语句的使用,简单testbench的写法
1,for语句的使用 `
timescale
1ns/1ns module add16(a,b,c0,sum,cout); input [15:0] a,b; input c0; output
xmind
·
2010-06-03 19:00
C++
c
C#
Verilog描述同步复位和异步复位
1,异步复位的实现: `
timescale
1ns/1ns module d1( input c, input d, input r, output q); reg a
xmind
·
2010-06-03 19:00
C++
c
C#
FPGA第一天
先从简单的开始从网上复制过来一个程序:/*共阳极七段数码管的译码电路,输入要求显示的数字,输出七段码的译码信号*/`
timescale
1ns/1nsmoduleSEG7_
conquerwave
·
2010-01-23 02:00
input
Verilog HDL常用的行为仿真描述语句(五)
例:'
timescale
1ns/1psmoduletb_tri;parameterbsize=8;parameterclk_period=2;parametercac_delay=6;reg[(bsize
formerman
·
2009-09-13 16:00
function
任务
delay
时标和历法
时标和历法 转自http://fmddlmyy.home4u.china.com/text8.html时标和历法1、时标时标(
TimeScale
)就是标度时间的方法。
Pudgy's World
·
2005-08-29 11:00
时标和历法
1、时标时标(
TimeScale
)就是标度时间的方法。
casularm
·
2005-08-07 21:00
java
生活
出版
时标和历法
1、时标时标(
TimeScale
)就是标度时间的方法。
fmddlmyy
·
2005-08-02 21:00
java
生活
出版
上一页
8
9
10
11
12
13
14
15
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他