E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
verdi
Verdi
基础知识整理
Verdi
主要在以下方面使用
Verdi
使用情形:IC验证工程师(Debug)IC设计工程师(Review)学习目标主要以下三方面:能够生成fsdb波形;能够查看fsdb波形;能够追踪RTL代码。
254、小小黑
·
2018-07-08 18:25
debussy
Modelsim和Vcs+
Verdi
使用技巧(Linux)
Modelsim脚本自动仿真1、创建文件run.do,“#”为注释符号quit-sim#退出上次仿真.mainclear#清除上次仿真所有文件以及打印信息vlibwork.veloce#创建veloce的工作环境#---------------------------------------------------------------------------------------------
马哥_Marin
·
2017-09-05 15:33
vcs/
verdi
filist
.现在很多verilog的filelist文件中用如下形式来指定文件所在的目录就行了,而不必指定具体文件[c-sharp]viewplaincopy./testbench/ppc460_PLB4/p464s_test_top_tb.v../hdl/p460s_defines.v+incdir+$SYNOPSYS/dw/sim_ver+incdir+./vera/ver_shell/ppc460_P
FLYMOOD
·
2017-06-30 21:00
Synopsys 各软件
Verdi
VCS DC PT详细破解说明
本文档对ictown.com的Synopsys破解说明文档进行了补充。本文在转载的基础上根据实际安装破解的流程做了补充编辑。仅作学术交流之用。本破解已经验证适用2012.03以上各个版本,包括2015.061.下载对应版本的安装包2.安装Installer_v3.2并进入对应的文件夹,运行打开安装界面,按提示进行操作。若界面打不开也可以使用命令行方式安装。注意不允许使用root安装,这点与一般软件
gangeqian
·
2016-09-16 12:26
linux
IC设计
Verdi
(debussy)中查看memory
文章来源于 左左右右 在测试文件中加入: initial begin $fsdbDumpfile("../database/test.fsdb"); //产生fsdb文件 $fsdbDumpvars; //产生模块中的所有信号的波形(除了mem类型) #3000 //运行时间 $finish; //结束 en
·
2015-11-08 13:36
memory
亚马逊在德遭工人罢工 工会施压为改善薪酬待遇
11 月 24 日消息,据外媒报道,近日,德国工会组织
Verdi
计划在圣诞购物旺季前组织更多罢工,向亚马逊施压,从而为工人争取更好的工作条件与薪水。
·
2015-10-31 10:23
待遇
KMP -- POJ3461
KMP -- POJ3461解题报告 问题描述:给出字符串P和字符串T,问字符串P在字符串T中出现的次数 Sample Input 3 BAPC BAPC AZA AZAZAZA
VERDI
·
2015-10-27 16:34
poj
(筆記) $dispaly()、$strobe()、$monitor() 、$fwrite()與blocking / nonblocking的關係 (SOC) (Verilog) (Debussy)
(筆記)$dispaly()、$strobe()、$monitor()、$fwrite()與blocking/nonblocking的關係(SOC)(Verilog)(Debussy)(
Verdi
)Abstract
angelbosj
·
2013-10-31 18:00
学习
FPGA
hdu 1686(Oulipo) KMP基础题 / hdu 2087(剪花布条)KMP基本运用
3 BAPC BAPC AZA AZAZAZA
VERDI
AVERDXIVYERDIAN 上面的三个实例的输出分别为:1
·
2013-04-26 11:00
HDU
歌剧威尔第《弄臣》女人善变无常 唱词
Verdi
: La donna è mobile
La donna è mobile 女人善变无常 qual piuma al vento, 如羽毛飘风中 muta d'accento 莫测的声腔 e di pensiero. 善变的思想 Sempre un amabile 看来总是可爱的 leggiadro viso, 诱惑藏于温柔 in pianto o in riso, 阿你看她刚在哭泣
Seraph115
·
2010-08-30 19:00
mobile
tools
看波形用debussy&
verdi
功能仿真用VCS&ncverilog形式验证用formalitySTA检查用PT功耗分析用nanosimFPGA做辅助验证。
politefish
·
2009-12-03 18:00
tools
上一页
1
2
3
4
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他