Vivado下config属性的设置

文章目录

    • 在XDC中设置属性
    • IDE中设置
    • AR

在XDC中设置属性

点开“Language Templates”,参考xilinx给出的范例进行设置
Vivado下config属性的设置_第1张图片

# An example XDC with the default settings
set_property BITSTREAM.CONFIG.BPI_1ST_READ_CYCLE 1 [current_design]
set_property BITSTREAM.CONFIG.BPI_PAGE_SIZE 1 [current_design]
set_property BITSTREAM.CONFIG.BPI_SYNC_MODE Disable [current_design]
set_property BITSTREAM.CONFIG.CCLKPIN Pullup [current_design]
set_property BITSTREAM.CONFIG.CONFIGFALLBACK Disable [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 3 [current_design]
set_property BITSTREAM.CONFIG.DCIUPDATEMODE AsRequired [current_design]
set_property BITSTREAM.CONFIG.DONEPIN Pullup [current_design]
set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN Disable [current_design]
set_property BITSTREAM.CONFIG.INITPIN Pullup [current_design]
set_property BITSTREAM.CONFIG.INITSIGNALSERROR Enable [current_design]
set_property BITSTREAM.CONFIG.M0PIN Pullup [current_design]
set_property BITSTREAM.CONFIG.M1PIN Pullup [current_design]
set_property BITSTREAM.CONFIG.M2PIN Pullup [current_design]
set_property BITSTREAM.CONFIG.NEXT_CONFIG_REBOOT Enable [current_design]
set_property BITSTREAM.CONFIG.OVERTEMPPOWERDOWN Disable [current_design]
set_property BITSTREAM.CONFIG.PERSIST No [current_design]
set_property BITSTREAM.CONFIG.PROGPIN Pullup [current_design]
set_property BITSTREAM.CONFIG.REVISIONSELECT 00 [current_design]
set_property BITSTREAM.CONFIG.REVISIONSELECT_TRISTATE Disable [current_design]
set_property BITSTREAM.CONFIG.SELECTMAPABORT Enable [current_design]
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR No [current_design]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 1 [current_design]
set_property BITSTREAM.CONFIG.SPI_FALL_EDGE No [current_design]
set_property BITSTREAM.CONFIG.TCKPIN Pullup [current_design]
set_property BITSTREAM.CONFIG.TDIPIN Pullup [current_design]
set_property BITSTREAM.CONFIG.TDOPIN Pullup [current_design]
set_property BITSTREAM.CONFIG.TMSPIN Pullup [current_design]
set_property BITSTREAM.CONFIG.UNUSEDPIN Pulldown [current_design]
set_property BITSTREAM.ENCRYPTION.ENCRYPT No [current_design]
set_property BITSTREAM.ENCRYPTION.ENCRYPTKEYSELECT bbram [current_design]
set_property BITSTREAM.GENERAL.CRC Enable [current_design]
set_property BITSTREAM.GENERAL.DEBUGBITSTREAM No [current_design]
set_property BITSTREAM.GENERAL.DISABLE_JTAG No [current_design]
set_property BITSTREAM.GENERAL.JTAG_XADC Enable [current_design]
set_property BITSTREAM.GENERAL.XADCENHANCEDLINEARITY Off [current_design]
set_property BITSTREAM.READBACK.ACTIVERECONFIG No [current_design]
set_property BITSTREAM.READBACK.ICAP_SELECT Auto [current_design]
set_property BITSTREAM.READBACK.SECURITY None [current_design]
set_property BITSTREAM.READBACK.XADCPARTIALRECONFIG Disable [current_design]
set_property BITSTREAM.STARTUP.DONEPIPE Yes [current_design]
set_property BITSTREAM.STARTUP.DONE_CYCLE 4 [current_design]
set_property BITSTREAM.STARTUP.GTS_CYCLE 5 [current_design]
set_property BITSTREAM.STARTUP.GWE_CYCLE 6 [current_design]
set_property BITSTREAM.STARTUP.LCK_CYCLE NoWait [current_design]
set_property BITSTREAM.STARTUP.MATCH_CYCLE Auto [current_design]
set_property BITSTREAM.STARTUP.STARTUPCLK Cclk [current_design]

IDE中设置

打开布局布线后的工程,通过GUI界面设置属性
Vivado下config属性的设置_第2张图片

AR

Vivado下config属性的设置_第3张图片

你可能感兴趣的:(#,Vivado)