ZYNQ1-PL端调用PS端的时钟

PL端调用PS端的时钟

对于ZYNQ7系列开发板中,XC7z020CLG400的开发板中,PL端没有独立的时钟供给,如需用到PL端的开发,可以调用PS端的时钟或者在底板上外接时钟。现在就如何在VIVADO中调用PS端时钟供给PL端开发进行以下讲述。
1,首先建立VIVADO工程,如下图所示,命名为EX1。
ZYNQ1-PL端调用PS端的时钟_第1张图片
2,选择RTL PROJECT,并勾选DO NOT SPECIFY SOURCES AT THIS TIME,如下图所示。
ZYNQ1-PL端调用PS端的时钟_第2张图片
3,点击FINISH,完成项目构建,如下图所示。
ZYNQ1-PL端调用PS端的时钟_第3张图片
4,在工程中添加V文件,如图所示。
ZYNQ1-PL端调用PS端的时钟_第4张图片
5,在文件中,添加的两个V文件一个为led_flash用于控制LED灯闪烁,另一个为顶层文件,用于调用PS端时钟和led_flash,如下图示。
ZYNQ1-PL端调用PS端的时钟_第5张图片
6,添加IP核,设置如图所示,点击+号。
ZYNQ1-PL端调用PS端的时钟_第6张图片
7,选择相应的功能单元,并创建引脚,点击run block automation 按键,生成IP核ps_sys,如下图所示。
ZYNQ1-PL端调用PS端的时钟_第7张图片
ZYNQ1-PL端调用PS端的时钟_第8张图片
9,右键选择validate design,IP执行validate design。
ZYNQ1-PL端调用PS端的时钟_第9张图片 ZYNQ1-PL端调用PS端的时钟_第10张图片
10,对IP核进行打包操作。
ZYNQ1-PL端调用PS端的时钟_第11张图片
11,打包完后,新建顶层文件,如下图,并调用IP核以及底层文件。
ZYNQ1-PL端调用PS端的时钟_第12张图片
12,对生成的IP核进行调用,编译和执行。
ZYNQ1-PL端调用PS端的时钟_第13张图片
13,对芯片进行引脚约束,执行编译和执行操作。
ZYNQ1-PL端调用PS端的时钟_第14张图片
14,生成bitstream的二进制文件。
ZYNQ1-PL端调用PS端的时钟_第15张图片

15,在编译出bit_stream后,选择FILE->EXPORT HARDWARE,注意勾选include bitstream。
ZYNQ1-PL端调用PS端的时钟_第16张图片
16,选择LAUNCH SDK,打开SDK工具。
ZYNQ1-PL端调用PS端的时钟_第17张图片
17,打开Xilinx SDK,在SDK中点击RUN->RUN CONFIGURATION,在配置界面切记勾选Run ps7_post_config”, 确认需要加载的bit文件,然后点Run,将程序下载至开发板,进行验证,本帖亲测可用,如有错误,欢迎大家指正。
ZYNQ1-PL端调用PS端的时钟_第18张图片

你可能感兴趣的:(VAVIDO,ZYNQ7000)