FPGA 学习之路(三) FPGA的固化方法

(1)  在Quartu s II 界面中选择File >  Co nv ert Progra mmi ng Files ,打开Convert Programming Files 对话框,参照下图,从Programmi ng file ty pe 下拉列表框中选择编程文件的类型为JTAG Indirect Configuration File (.jic)。

FPGA 学习之路(三) FPGA的固化方法_第1张图片

FPGA 学习之路(三) FPGA的固化方法_第2张图片

(2)  在Configuration d e vi ce 下拉列表框中根据实际设计选择被编程目标器件,对于EP3C8 选择EPCS16  。这个型号根据板子上的型号选择。

FPGA 学习之路(三) FPGA的固化方法_第3张图片

(3)  在File name 文本框中,输入或浏览选择JIC 文件名和存放路径。

(4)  在Input files to convert 栏中单击Flash Loader,使其高亮。

(5)  单击   add device  打开Select Device (选择器件)  对话框,如图所示。

FPGA 学习之路(三) FPGA的固化方法_第4张图片

(6)  参照图中选择器件类型和型号。例如器件类型选择Cyclone。

(7)  器件型号选择EP3C80 ,然后单击OK,关闭选择器件对话框。

(8)  参照图,在Input files to convert 栏中单击SOF Data ,使其高亮。

(9)  单击Add File 打开Select Input File (选择输入文件)对话框。

FPGA 学习之路(三) FPGA的固化方法_第5张图片

(10)   在该对话框中选择要进行转换的SOF 文件,然后单击打开按钮。

(11)   选择完输入文件后,会在SOF Data 下面出现要被转换的输入SOF 文件,如图所示。单击SOF Data 下的输入SOF 文件使其高亮。

(12)   参照图,单击Properties打开SOF File Properties (SOF 文件属性)  对话框。(注意SOF选中的时候,才能打开Properties)

FPGA 学习之路(三) FPGA的固化方法_第6张图片

(13)单机Generate 即可完成SOF 文件到JIC 文件的转换。转换后的JIC 文件存放到用户指定的路径。

(14)在下载之前先到Tools->options下勾选Iniate Confinguration after programming否则下载完需要掉电才能应用新配置。

FPGA 学习之路(三) FPGA的固化方法_第7张图片


你可能感兴趣的:(FPGA)