(12)ISE14.7仿真流程(FPGA不积跬步101)

名言:努力成为一个优秀的人!

1 ISE14.7仿真器

ISE14.7开发软件除了编译功能,还支持代码仿真功能,不用关联第三方仿真软件也可以进行设计仿真。

2 ISE14.7仿真流程

使用ISE14.7自带仿真器进行仿真流程如下:

1)第一步,使用ISE14.7建立工程、编写待验证模块(led.v)、编写测试激励(tb_led.v);
说明:新建一个测试文件,verilog test fixture,文件名为tb_led。

2)第二步,开始仿真。
a)选择Simulation--->双击Behavioral Check Syntax(验证语法错误)--->双击Simulation Behavioral Model(弹出仿真界面)。
b)  右键tb_led--->Add To Wave Window--->Run All(运行仿真)--->Break(停止仿真)--->查看波形。

3 结束语

如果遇到问题,可以一起沟通讨论,邮箱:[email protected]

你可能感兴趣的:(FPGA小试牛刀)