RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用

参考博客:
1、搭建属于自己的数字IC EDA环境(三):Centos7安装EDA(vcs2018、verdi2018等)IC工具以及脚本运行第一个工程
2、搭建属于自己的数字IC EDA环境(番外):S家EDA工具 license失效,TCP端口占用问题
3、ynopsys EDA Tools安装和使用过程中出现的问题及解决方法
4、VCS 使用过程中遇到/bin/sh: 0: Illegal option -h

简述

上一篇博客我们讲解了软件的安装过程。安装的软件有scl、vcs、vcs-mx、verdi、spyglass。这一篇博客主要介绍软件的使用。

1、生成重要文件

首先使用sudo apt install net-tools安装查看网络工具。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第1张图片
之后命令行分别输入hostnameifconfig来获取两个信息。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第2张图片
接着在window下打开共享文件夹,进入scl_keygen_2030的文件夹中并点击scl_keygen.exe,填好信息后,点击Generate按钮生成Synopsys.dat
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第3张图片
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第4张图片
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第5张图片
最后将生成的文件拷贝到ubuntu虚拟机中备用。

在ubuntu中打开生成的文件,然后在第二行加入下图的内容,需要根据的实际安装路径来进行修改。

/home/xiaoxing/synopsys/scl/2018.06/linux64/bin/snpslmd

在这里插入图片描述
将生成的文件拷贝到下面的路径,这里以自己的路径为例,/home/xiaoxing/synopsys/scl/2018.06/admin/license
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第6张图片

2、配置.bashrc文件

参考代码:搭建属于自己的数字IC EDA环境(三):Centos7安装EDA(vcs2018、verdi2018等)IC工具以及脚本运行第一个工程

export DVE_HOME=/home/xiaoxing/synopsys/vcs/O-2018.09-SP2
export VCS_HOME=/home/xiaoxing/synopsys/vcs/O-2018.09-SP2
export VCS_MX_HOME=/home/xiaoxing/synopsys/vcs-mx/O-2018.09-SP2
export LD_LIBRARY_PATH=/home/xiaoxing/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64
export VERDI_HOME=/home/xiaoxing/synopsys/verdi/Verdi_O-2018.09-SP2
export SCL_HOME=/home/xiaoxing/synopsys/scl/2018.06


#dve
PATH=$PATH:$VCS_HOME/gui/dve/bin
alias dve="dve"

#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"

#VERDI
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"

#scl
PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux

#LICENCE
export LM_LICENSE_FILE=27000@xiaoxing666
alias lmg_synopsys="lmgrd -c /home/xiaoxing/synopsys/scl/2018.06/admin/license/Synopsys.dat"

大家需要根据自己的实际安装路径来配置哈。
首先使用命令cd ~,接着使用g .bashrc来编辑,把上面的配置代码添加在原有的代码的后面。接着使用:wq来保存文件,保存完后,需要source一下,才可以使更改有效。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第7张图片

3、安装防护墙工具

sudo apt install firewalld

RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第8张图片
当我们安装完之后,就可以开放27000端口咯。

firewall-cmd --zone=public --add-port=27000/tcp --permanent
firewall-cmd --reload

RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第9张图片

4、激活软件

在命令中输入lmg_synopsys来激活软件。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第10张图片
但是输入发现,出现下面的问题,为了解决,需要安装一个软件包。

sudo apt install lsb-core

RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第11张图片
之后再次运行lmg_synopsys来激活软件。在我们欣喜的以为激活完成时,没想到又出现新的问题,出现这个问题的原因是缺少/usr/tmp/.flexlm这个文件。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第12张图片

sudo mkdir -p /usr/tmp
sudo touch /usr/tmp/.flexlm

RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第13张图片
之后再次运行lmg_synopsys来激活软件。出现这种情况基本是端口被占用了。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第14张图片
使用命令sudo lsof -i:27000查看27000端口目前是否被占用。可以看出已经被占用了。
在这里插入图片描述
执行sudo killall lmgrd来去掉当前端口的进程。

之后再次运行lmg_synopsys来激活软件,出现下面的打印信息就说明软件激活成功咯。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第15张图片
当ubuntu虚拟机重启后,需要重新输入lmg_synopsys来激活。

5、跑一个工程

接着我们需要从芯王国公众号后台回复七夕小心心来下载工程文件。
然后将下载的文件拷贝到ubuntu中,最后别忘记解压一下哈。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第16张图片
进入下面的界面,打开命令行,输入make vcs
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第17张图片
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第18张图片
使用下面的两句命令可以解决问题。注:下面的解决办法来源:VCS 使用过程中遇到/bin/sh: 0: Illegal option -h
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第19张图片
这里我使用sudo rm -f /bin/sh和sudo ln -s /bin/bash /bin/sh
接着继续make vcs,最后编译出错了,这个问题和gcc编译器版本有关,需要安装4.4版本的。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第20张图片
输入命令

sudo vim /etc/apt/sources.list

在后面写入下面的代码,之后输入:wq来保存文件

deb http://mirrors.ustc.edu.cn/ubuntu/ trusty main restricted universe multiverse
deb http://mirrors.ustc.edu.cn/ubuntu/ trusty-security main restricted universe multiverse
deb https://mirrors.ustc.edu.cn/ubuntu/ trusty-updates main restricted universe multiverse
deb https://mirrors.ustc.edu.cn/ubuntu/ trusty-backports main restricted universe multiverse

RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第21张图片
之后执行sudo apt updatesudo apt upgrade来更新软件源。
之后需要安装必要的软件。

sudo apt install software-properties-common apt-transport-https ca-certificates
sudo apt install csh vim python2.7 perl lsb-core make dos2unix libjpeg62 libpng12-0
sudo apt install gcc-4.4 g++-4.4 gcc-multilib gcc-4.4-multilib

最后使用命令来把原有的gcc和g++替换成gcc-4.4和g+±4.4.

sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.4 100
sudo update-alternatives --install /usr/bin/g++ g++ /usr/bin/g++-4.4 100

接着make vcs以及make verdi就可以成功运行了哈。
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第22张图片
RISCV学习笔记5.3--ubuntu18.04芯片设计软件(vcs、verdi)的简单使用_第23张图片

你可能感兴趣的:(riscv处理器设计,fpga开发,学习,ubuntu,risc-v)