Verdi

一、生成波形文件

三大EDA厂商软件生成波形可以和verdi配合使用:

  • Sysnopsys--vcs(接触)
  • Cadence--irun
  • Mentor--Qusta
    Dump波形的两类方法:1.基于系统函数(如下) 2.基于ucli / tcl接口
$ fsdbDumpfile("system.fsdb") ; 
$ fsdbDumppvars(0, tb_top) ;

二、Verid:makefile脚本

vcs:
    vcs ahb_tb.v ahb.v -sverilog +v2k  -fsdb_old 
    ./simv  #运行才能生成fsdb文件
ve:
     verdi ahb_tb.v ahb.v  -sv -ssf system.fsdb -sswr wahb.rc \
    -nologo
clean:
    rm -rf csrc novas.rc simv simv.daidir vcom1.log verdiLog vsim1.log system.fsdb* 
   vcs.key ucli.key DVEfiles inter.vpd
nl:
     nLint -gui -2001 -sv ./rtl/test.v &

-ssf : 加载波形文件;-sswr: 加载信号列表;-nologo:不显示进入的欢迎界面

三、文本编辑器妙用

set tabstop=4             //tab键空格个数
set cursorcolumn         //列高亮打开
set cursorline           //行高亮打开
快捷键 ZQ:不保存就退出,ZZ:保存并退出;ggVG全文选中 ;Ctrl+F/B:向后翻页,向前翻页

四、Verid保存波形

Verid查看过的信号波形列表可以保存成.rc文件,通过脚本调用直接打开。

-ssf system.fsdb -sswr wahb.rc -nologo

你可能感兴趣的:(Verdi)