VIVADO ERROR:[Opt 31-30] Blackbox xxx is driving pin D of primitive cell xxx.

ERROR: [Opt 31-30] Blackbox xxx is driving pin D of primitive cell xxx. This blackbox cannot be found in the existing library.

vivado问题

vivado综合通过,实现报错: ERROR: [Opt 31-30] Blackbox xxx is driving pin D of primitive cell xxx. This blackbox cannot be found in the existing library.

解决办法

在封装IP时,有些文件没有封装进来。导致实现找不到相应的管脚。
Package IP->File Groups -> Standard->Synthesis,检查是否有文件没有添加进来,将所有文件添加进来,重新封装IP即可。

你可能感兴趣的:(vivado,FPGA,fpga开发)