verilog 浮点数转定点数_校招基础——浮点数的定点化

一、概念

1、浮点数:小数点位置是漂浮不定的。

例如:浮点数运算 1.1 * 1.1 = 1.21,小数点位置发生了变化。

verilog 浮点数转定点数_校招基础——浮点数的定点化_第1张图片

IEEE 754 规定,浮点数的表示方法为:

3b5b8c23d5a5dc3a671273157c6700a2.png

最高的 1 位是符号位 s,接着的 8 位是指数E,剩下的 23 位为有效数字 M。

2、定点数:小数点的位置是确定的。

例如:定点数运算 1.1 * 1.1 = 1.2,小数点的位置没有变化。

verilog 浮点数转定点数_校招基础——浮点数的定点化_第2张图片

定点的意思是,小数点固定在 32 位中的某个位置,前面的是整数,后面的是小数。

小数点具体固定在哪里,可以自己在程序中指定。

二、Verilog表示定点数

FPGA 的寄存器只可以表示正整数,通过约定【最高位为

你可能感兴趣的:(verilog,浮点数转定点数)