ISE的FIR IP核实现

IP核参数设置

滤波器系数产生

和Quartus不一样,Vivado的FIR Compiler没有提供设计FIR滤波器和生成滤波器系数的功能,因此需要使用MATLAB等其它工具设计好滤波器再将系数导入到IP核中。有两种方法:

1、MATLAB的FDATOOL工具在设计好滤波器后,可以直接生成IP核需要的coe文件:

matlab的命令行输入filterDesigner打开滤波器设计工具,设计合适的滤波器后记得选fix point,然后导出coe文件

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-dpLfJali-1610718093604)(https://s3-us-west-2.amazonaws.com/secure.notion-static.com/4829af6e-c02f-4b28-88dc-14a094240928/Untitled.png)]

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-tE43nRMd-1610718093621)(https://s3-us-west-2.amazonaws.com/secure.notion-static.com/c0a197ac-4fc9-43b4-abbc-d10951cab033/Untitled.png)]

2、在已经写好的matlab代码中提取系数,然后写函数生成合适格式的coe文件:

这是很见到的fir滤波器,

Lb=fir1(101,2.5e6*2/1e9);       %低通滤波窗口

附上保存系数并生成coe文件的代码,


你可能感兴趣的:(FPGA,fpga)