modelsim使用技巧

  • Modelsim关闭Add items to the Project后,该如何添加existing file:

        在project页面下,右键选择add to project-add existing file

  • 设置modelsim的仿真波形时间单位:

        打开Modelsim后,在Wave-Wave Preferences后,在弹出的Wave Window Preferences界面单击Grid & Timeline,然后选择仿真时间波形单位,并可以设置栅格显示的周期。

modelsim使用技巧_第1张图片
  • modelsim仿真时没有object选项用来add to wave,导致不能正常仿真

       一种是在Modelsim工具栏下直接选中Simulate-Start Simulation的弹出窗口中,选择好仿真top层文件后,取消勾选Enable optimization,

modelsim使用技巧_第2张图片

        另一种是在Library界面下选择需要仿真的testbench,右键选择Simulate without optimization,不要直接选simulate,因为默认的Simulate有optimization

modelsim使用技巧_第3张图片

  • modelsim如何保存仿真图形并打开

1、图像界面打开与保存仿真波形方式:

保存:

        1.1 在modelsim窗口下选择打开sim窗口,选择file-save dataset sim或按ctrl+s,保存.wlf 文件

        1.2 在modelsim窗口下选择打开wave窗口,选择file-save format或按ctrl+s,保存.do文件

打开:

        1.3 打开modelsim后,选择file-open-文件类型选择.wlf-双击选中保存的.wlf 文件。

        1.4 打开modelsim后,选择file-load-文件类型选择Macro file,打开保存的.do文件。

2、命令脚本打开与保存仿真波形的方式:

在modelsim的Transcript窗口中输入如下命令:

保存:

dataset save [dataset名称,一般为sim] [文件路径]/[文件名].wlf
write format wave [文件路径]/xxx.do

wlf文件保存了运行的结果,do文件则是添加波形,设置格式等命令,

只要有了这两个文件就可以还原波形,就是不能继续仿真了(可以单独新建一个文件夹放这两个文件)

打开:

dataset open [文件路径]/[文件名].wlf  
do [文件路径]/xxx.do

参考他人链接:Modelsim保存与打开仿真波形的方式_modelsim打开wlf文件-CSDN博客

  • 移植Modelsim仿真工程

前一篇文章:移植Modelsim仿真工程-CSDN博客

  • modelsim如何关联Notepad++

前一篇文章:modelsim如何关联Notepad++-CSDN博客

  • Quartus II + Modelsim 脚本仿真

前一篇文章:Quartus II + Modelsim 脚本仿真-CSDN博客

你可能感兴趣的:(FPGA,Modelsim仿真)