Ubuntu14.04安装Vivado

        在使用FPGA开发工具Vivado时,如果在Window平台下,编译时间很长,这是因为软件在Window平台下使用2个线程进行编译,最大也就能设置为4。可以在TCL命令框输入:get_param general.maxThreads来查看编译线程。如果在开发过程中,编译次数频繁的话,那么在Linux平台下编译会大大减少编译时间,Vivado在Linux平台下使用8线程。

下边介绍如何在Ubutu14.05安装Vivado,这里我用的是Vivado 2015.2版本。

一、找台电脑,安装Ubuntu14.04

         我没有在windows系统里安装Ubuntu,而是直接在电脑中安装,这样电脑启动后只有一个系统,即Ubuntu14.04。安装过程具体类似用U盘安装windows系统。

二、下载Vivado Linux版本:Xilinx_Vivado_SDK_2015.2_0626_1.tar.gz

三、解压:tar xzvf

四、执行:./xsetup

五、安装完毕后,一般安装在opt这个大目录下。然后加入license文件,这里一定要注意先 sudo -s (如果不用sudo -s,可以打开vivado,但是无法加入license)后在一层一层进入opt/Xilin/Vivado/2015.2/bin/

六、启动vivado:在第五步骤的目录下执行./vivado,稍等一下就打开了,界面于window下一模一样,然后像windows一样使用就可以了,编译速度杠杠地!!!!!!!。

备注:本人在实际操作过程中,主要碰到的问题是上边第五步骤,没有sudo -s 导致无法加入license

你可能感兴趣的:(XilinxFPGA)