UVM在Linux系统运行

Linux+VCS运行UVM程序

  • 准备文件
  • 运行程序
  • 运行结果

准备文件

在Linux系统中做仿真,除了之前的源文件,还需要加一些文件来配置文件和软件的信息。
(1)setup.vcs 文件:用于配置VCS和UVM的软件环境
其中VCS_HOME和UVM_HOME要按照自己的软件安装路径设置。
UVM在Linux系统运行_第1张图片
(2)filelist.f 文件:用于配置需要编译的文件
1、2行不用修改,第3行的文件要设置为仿真的头文件。
UVM在Linux系统运行_第2张图片
(3)run_tc 文件:用于运行vcs程序
其中第6行标注了运行的测试实例是my_case0
UVM在Linux系统运行_第3张图片
(4)还需要在以下文件中添加如下代码
UVM在Linux系统运行_第4张图片
在这里插入图片描述
UVM在Linux系统运行_第5张图片
用于生成波形文件,供dve打开。

运行程序

运行程序需要下面的指令
(1)csh
在sh环境中执行csh切换到csh环境
(2)source setup.vcs
运行setup.vcs中的指令
(3)source run_tc
运行run_tc中的指令,可以得到uvm的运行结果,此时生成了curve.vcd文件
(4)dve
运行dve软件,菜单栏中电机file - database - 打开curve.vcd文件
选中DUT中的文件,右键 - add to waves - new wave view,然后可以在界面查看波形

运行结果

(1)UVM运行结果
UVM在Linux系统运行_第6张图片
(2)DVE中的信号
UVM在Linux系统运行_第7张图片
(3)DVE中的波形
UVM在Linux系统运行_第8张图片

你可能感兴趣的:(UVM)