Quartus 仿真错误

 仿真时出错:

Error: Can't continue timing simulation because delay annotation information for design is missing.

解决的方法很简单,只需要将工程进行一次全编译即可(包括布局布线).

这里你将会发现,如果只需要进行功能仿真,没有全编译也是可以进行下去的,而时序仿真就不行了.

你可能感兴趣的:(verilog)