FPGA | Verilog基础语法

这里写自定义目录标题

    • Case语句
  • 系统任务
    • $dumpfile | 为所要创建的VCD文件指定文件名。
    • $dumpvar | 指定需要记录到VCD文件中的信号
    • $fscanf
    • $fread

菜鸟教程连接

Case语句

case(case_expr)
    condition1     :             true_statement1 ;
    condition2     :             true_statement2 ;
    ……
    default        :             default_statement ;
endcase

系统任务

$dumpfile | 为所要创建的VCD文件指定文件名。

举例("//"符号后的内容为注释文字):
initial
$dumpfile (“myfile.dump”); //指定VCD文件的名字为myfile.dump,仿真信息将记录到此文件

$dumpvar | 指定需要记录到VCD文件中的信号

  • 可以指定某一模块层次上的所有信号,也可以单独指定某一个信号。
    典型语法为$dumpvar(level, module_name);

参数level为一个整数,用于指定层次数
参数module则指定要记录的模块。
整句的意思就是,对于指定的模块,包括其下各个层次(层次数由level指定)的信号,都需要记录到VCD文件中去。
举例:
initial
$dumpvar (0, top); //指定层次数为0,则top模块及其下面各层次的所有信号将被记录**
initial
$dumpvar (1, top); //记录模块实例top以下一层的信号
//层次数为1,即记录top模块这一层次的信号
//对于top模块中调用的更深层次的模块实例,则不记录其信号变化
initial
$dumpvar (2, top); //记录模块实例top以下两层的信号
//即top模块及其下一层的信号将被记录
假设模块top中包含有子模块module1,而我们希望记录top.module1模块以下两层的信号,则语法举例如下:
initial
$dumpvar (2, top.module1); //模块实例top.module1及其下一层的信号将被记录

$fscanf

integer ;
= $fscanf(, “”, );

integer:
定义一个整型数值,正常读取为1ÿ

你可能感兴趣的:(#,FPGA学习笔记,fpga开发,FPGA,Verilog)