UVMC学习笔记四:在SystemC/C++ layer的信息汇报控制

前言

在uvmc中可以提供对uvm testbench基本层次信息的打印和信息打印级别的控制,控制过程可以systemC layer实现操作

一. UVM testbench topology 例化层次信息打印

uvmc_print_topology(context,depth)
参数说明:

context: 开始打印拓扑的组件的层次结构路径。如果未指定,则拓扑打印将从uvm_top开始。可以使用通配符(*和)指定多个组件,例如“top.env.* .driver”。可通过将contxt括在前斜杠中来指定POSIX扩展正则表达式,例如“/a[hp]b/”。默认值:" (uvm_top)

depth:要打印的层次结构的级别数。如果没有指定,则打印从给定上下文开始的所有层次结构。默认值:-1(递归所有子元素)

例子:

void top::show_uvm_print_topology()
{
  cout << endl << endl << "Waiting for UVM to reach build phase..." << endl;
  uvmc_wait_for_phase("build", UVM_PHASE_STARTED);

  cout << endl << endl << "Topology before build phase:" << endl;
  uvmc_print_topology();

  uvmc_wait_for_phase("build", UVM_PHASE_ENDED);

  cout << endl << endl << "Topology after build phase:" << endl;
  uvmc_print_topology();
}

打印结果:

Topology before build phase:
UVM_INFO /home/shawntan/Project/uvmc/uvmc-2.3.2/src/connect/sv/uvmc_commands.sv(502) @ 0 ns: reporter [TRACE/UVMC_CMD/PRINT_TOPOLOGY] Topology for component uvm_top:
----------------------------------------------------------------------
Name              Type                                     Size  Value
----------------------------------------------------------------------
         uvm_root                                 -     @172 
  prod_out        UVMC_PROXY_FOR_uvm_tlm_b_transport_port  -     @366 
  sb_actual_in    UVMC_PROXY_FOR_uvm_analysis_export       -     @382 
  e               env                                      -     @336 
    prod_out      uvm_tlm_b_transport_port                 -     @345 
    sb_actual_in  uvm_analysis_export                      -     @355 
----------------------------------------------------------------------
 
UVM_INFO /home/shawntan/Project/uvmc/uvmc-2.3.2/src/connect/sv/uvmc_commands.sv(208) @ 0 ns: reporter [UVMC_WAIT_FOR_PHASE] Waiting for phase 'build' to be 'UVM_EQ' to state 'UVM_PHASE_ENDED'. Currently its state is 'UVM_PHASE_EXECUTING'


Topology after build phase:
UVM_INFO /home/shawntan/Project/uvmc/uvmc-2.3.2/src/connect/sv/uvmc_commands.sv(502) @ 0 ns: reporter [TRACE/UVMC_CMD/PRINT_TOPOLOGY] Topology for component uvm_top:
-----------------------------------------------------------------------------
Name                     Type                                     Size  Value
-----------------------------------------------------------------------------
                uvm_root                                 -     @172 
  prod_out               UVMC_PROXY_FOR_uvm_tlm_b_transport_port  -     @366 
  sb_actual_in           UVMC_PROXY_FOR_uvm_analysis_export       -     @382 
  e                      env                                      -     @336 
    prod                 producer                                 -     @402 
      analysis_out       uvm_analysis_port                        -     @422 
      out                uvm_tlm_b_transport_port                 -     @412 
    prod_out             uvm_tlm_b_transport_port                 -     @345 
    sb                   scoreboard                               -     @432 
      actual_in          uvm_analysis_imp                         -     @441 
      exp_fifo           uvm_tlm_analysis_fifo #(T)               -     @461 
        analysis_export  uvm_analysis_imp                         -     @510 
        get_ap           uvm_analysis_port                        -     @500 
        get_peek_export  uvm_get_peek_imp                         -     @480 
        put_ap           uvm_analysis_port                        -     @490 
        put_export       uvm_put_imp                              -     @470 
      expect_in          uvm_analysis_export                      -     @451 
    sb_actual_in         uvm_analysis_export                      -     @355 
-----------------------------------------------------------------------------

可以看到通过phase控制以后,build phase 前后所print 的testbench topology的构建层次结果存在明显的差异

二 report 控制函数

  1. uvmc_report_enabled(verbosity, severity,id, context)
    该函数用于控制指定组件contxt中生成的报告具有verbosity、severityid,设定成功则返回true
    用于精细控制组件的对应打印级别。如果UVM_INFOverbosity大于为其发出的组件配置的verbosity,则忽略该报告。低于该级别的报告不受影响。没有设置severityid的组件的行为报告方式默认为UVM_NO_ACTION, 不考虑任何已注册的report_catchers的过滤。

    参数说明:
    verbosity :打印冗余级别,和UVM 的级别一致
    serverity :报告的信息的严重程度, 默认UVM_INFO, 另外有UVM_WARNING, UVM_ERROR, UVM_FATAL
    Id : 报告的标识符字符串(自定义)。必须是完全匹配的。如果没有指定,那么uvmc_report_enabled仅在UVM_NO_ACTION是指定上下文中给定严重性的配置操作时才进行检查。默认值:"(wildcard)“
    context : 发出假设报告的组件的层次结构路径。如果没有指定,则上下文是全局的,即uvm_top。不是由组件发出的报告来自uvm_top。默认值:"全局"
    示例:

    if (uvmc_report_enabled(UVM_HIGH, UVM_INFO, "PRINT_TRANS") {
    string detailed_msg;
    ...prepare message string here...
    uvmc_report(UVM_INFO, "PRINT_TRANS", detailed_msg, UVM_HIGH);
    }
    1. uvmc_set_report_verbosity(level, context, recurse)
      为组件在指定上下文中发出的所有UVM_INFO-severity报告设置运行时详细级别。来自组件上下文的任何报告,如果其详细程度超过此最大值,将被忽略。
      SC通过uvmc_report发布的报告只受全局上下文的详细级别设置的影响,即context= " "。要对sc发布的报告进行更细粒度的控制,请使用uvm_top注册一个uvm_report_catcher

      参数说明:

      level :信息冗余水平。指定UVM_NONE、UVM_LOW、UVM_MEDIUM、UVM_HIGH或 UVM_FULL。必填的
      context :组件的层次路径。可以使用通配符*和?指定多个组件,例如“top.env.*.driver”。可以通过将contxt括在前斜杠中来指定POSIX扩展正则表达式,例如“/a[hp]b/”。默认值:" (uvm_top)
      recurse :如果为真,则设置匹配上下文的组件child节点的详细程度。默认值:假

      例子:
      uvmc_set_report_verbosity(UVM_FULL) : 设置所有组件的打印级别到UVM _FULL
      uvmc_set_report_verbosity(UVM_NONE, "top.env.agent1.driver"); 为top.env.agent1.driver禁用所有可过滤的信息报告。不包含child 节点

      uvmc_set_report_verbosity(UVM_LOW, true);
      uvmc_set_report_verbosity(UVM_HIGH, "top.env.troublemaker"); 将所有组件的详细报告设置为UVM_LOW,单独对top.env,troublemaker设置UVM_HIGH的信息冗余度, 最后一个示例中, 递归标志被设置为false,因此所有top.env,troublemaker的child节点(如果有的话)都将保持为UVM_LOW冗长

      1. uvmc_report(severity, id, message, verbosity, context, filename, line)
        将报告发送到UVM进行处理,可能会受到冗长、动作和活动报告捕捉器的过滤,使用UVM报告机制而不是$display和其他特殊方法来确保输出的一致性,并控制是否发出报告,以及在发出报告时是否采取了任何操作。所有的报告方法都有相同的参数,只是在UVM_INFO-severity报告中应用了冗余级别

      参数说明:
      severity:报告严重程度:指定UVM_INFO、UVM_WARNING、UVM_ERRORUVM_FATAL。必须参数
      id: 报告id字符串(自定义),用于识别和目标过滤。有关报表id如何影响筛选的详细信息,请参阅上下文描述。需要的参数
      Message: 消息内容,如果需要,可以对单个字符串进行预格式化。必需参数
      Verbosity: 消息冗余度,指定UVM_NONE、UVM_LOW、UVM_MEDIUM、UVM_HIGH或UVM_FULL。默认值:UVM_MEDIUM
      Context: 发出报告的sc side组件的层次结构路径。上下文字符串在SV端以层次结构名称的形式出现在报告中,但它在所有情况下都不会在报告过滤中发挥作用。所有的sc side报告都是从UVM的全局上下文发出的,即uvm_top。要应用过滤器设置,请从该上下文中创建它们,例如uvm_top.set_report_id_action()。固定了上下文之后,只有报告的id可以用来唯一地标识要过滤的SC报告。然而,报告捕捉器是通过报告的上下文传递的,因此可以基于SC上下文和id. 默认“”
      filename: 发出报告的可选文件名。使用文件。如果指定,文件名将显示为报告的一部分。默认值:"“
      line: 发出报告的文件名中的可选行号。使用线。如果指定,行号将显示为报告的一部分。默认值:0

      示例:
      uvmc_report(UVM_INFO, "SC_READY", "SystemC side is ready"); :发送一个全局(uvm_top-source)的信息报告给UVM
      uvmc_report(UVM_INFO, "SC_READY", "SystemC side is ready",UVM_LOW, "", __FILE__, __LINE__); 发出相同的报告,这次使用较低的冗长度,文件名和行号

      UVM_LOW verbosity并不意味着更低的输出。相反,如果运行时详细度设置不是UVM_NONE,则打印具有UVM_LOW详细度的报告。使用UVM_NONE verbosity发出的报告不能通过运行时verbosity设置进行筛选。
      下一个示例从一个sc side生产者组件发送一个警告和信息报告。在SV中,我们通过将其有效ID的操作设置为UVM_NO_ACTION来禁用警告。我们还将有效ID为UVM_NONE的信息消息的详细度阈值设置为UVM_NONE。这导致INFO报告被过滤,作为运行时的冗余度

       class producer : public sc_module {
       ...
       void run_thread() {
       ...
       uvmc_report(UVM_WARNING, "TransEvent",
       "Generated error transaction.",, this.name());
       ...
       uvmc_report(UVM_INFO, "TransEvent",
       "Transaction complete.", UVM_HIGH, this.name());
       ...
       }
       }
       
       uvm_top.set_report_id_action("TransEvent@top/prod",UVM_NO_ACTION);
       uvm_top.set_report_id_verbosity("TransEvent@top/prod",UVM_NONE);
       uvm_top.set_report_id_verbosity("TransDump",UVM_NONE);

      最后一条语句禁用具有ID“TransDump”的全局上下文(uvm_top)的所有报告。目前无法使用通配符同时为多个上下文设置报表过滤器。此外,SC的层次分隔符可以在模拟器中进行配置,因此可能会影响这些命令的上下文准确度
      几个等效serverity函数:
      uvmc_report_info()
      uvmc_report_warning()
      uvmc_report_error()
      uvmc_report_fatal()
      为方便使用uvmc_report 提供了一系列封装好的打印宏:
      UVMC_INFO (ID, message, verbosity, context);
      UVMC_WARNING (ID, message, context);
      UVMC_ERROR (ID, message, context);
      UVMC_FATAL (ID, message, context);
      在发送报告之前,宏首先调用uvmc_report_enabled来避免发送报告,如果报告的冗余度或操作会阻止它到达报告服务器的话。该报告则使用提供的文件名和行号参数调用uvmc_report
      对这些宏的调用必须以分号结束,这符合为SC_REPORT宏建立的SystemC约定。UVMC将来的版本可能会提供一个UVMC sc_report_handler,您可以使用它将所有SC_REPORTs重定向到UVM
      示例:
      UVMC_ERROR("SC_TOP/NO_CFG","Missing required config object", name());

你可能感兴趣的:(systemverilog)