E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
UVM自学记录
笑脸识别从零开始研究:小tips(1)
以下为关于笑脸识别的个人
自学记录
,不具备科学的严谨性,仅作参考。【pycharm安装】使用过程可看链接:https://www.jianshu.com/p/b7f735930c36官方文档底部
刘必王A6
·
2020-02-29 04:39
笑脸识别从零开始研究:优美的程序(1)
以下为关于笑脸识别的个人
自学记录
,不具备科学的严谨性,仅作参考。1.硬件MacBookAir(13-inch,Early2015)1.6GHzIntelCorei54GB1600MHzDDR3
刘必王A6
·
2020-02-23 01:49
笑脸识别从零开始研究:优美的程序(2)
以下为关于笑脸识别的个人
自学记录
,不具备科学的严谨性,仅作参考。【程序:批量尺寸修改】#用于修改尺寸fromskimageimportdata_dir,io,transform,colorim
刘必王A6
·
2020-02-21 10:06
UVM
实战[二]
本期将讲解
UVM
环境构成和启动方式。
空白MAX
·
2020-02-06 13:00
UVM
实战[一]
一个新的连载系列,将以一个实际的
UVM
环境代码讲解的使用、机制等,更新周期会比较长。文件说明分享的文件是我个人和同学在参加复微杯大学生电子设计大赛中所完成的设计。
空白MAX
·
2020-02-06 12:00
seqr和drvr的连接
foreverbeginseq_item_port.get_next_item(req);.........seq_item_port.item_done();enduvm_driver中有一个派生自
uvm
_seq_item_pu
Poisson_Lee
·
2020-02-02 01:36
100天平面设计
自学记录
辞职之后,画了一段时间的水彩,然后自学了一点平面设计,拿出了尘封已久的数位板……终于做了几年前就想做的事情!为什么要自学平面设计?因为觉得平面是UI的基础,想通过更本质的方式来提升一下自己UI设计的能力。所以就自己研究了一下logo(图形)、海报和字体设计。并且都是画在纸上的,因为觉得完全用笔画出来相比用软件能有更深刻的印象吧。一直很喜欢逛Pinterest,然后在上边收集了不少风格鲜明的海报和插
扭扭龙Bella
·
2020-02-01 15:22
初中数学
自学记录
写在文章前:女儿自学初中数学我本打算指导她两年时间拿下的,现在推测时间可以减半。七月中旬有幸认识了一众牛爸牛妈们,还有清华小学神哥哥,TA们乐于分享经验热心答疑解惑,让我这个理科渣妈妈学到了很多指引女儿自学数学的高效率方法以及有了一个清晰的正方向。在这里由衷感谢江苏苏语老师的推土机式学习法让我家借鉴;感谢广州婷妈热心答疑,特别佩服婷妈百事通;感谢沈阳刚爸,一切的一切;感谢广州乔帮主,一样又一样;感
岳诗晴
·
2020-01-08 04:35
自己动手写贝叶斯分类器给图书分类
一杯啤酒一段代码.jpg背景与目的##首先,这是一个机器学习初学者兼非数学科班出身的非典型工程师的
自学记录
。
电流
·
2020-01-07 15:48
003_
uvm
_ralgen_ug(Register and Memory Specification)
RegisterAbstractionLayerFile(RALF)用于指定设计中所有的寄存器和存储器。在RAL中,一个设计是一个block或者一个system。最小的可被验证的功能单元是block。system是由多个block或者多个subsystem组成的。在一个RALF描述中,最顶层的结构可以是block或者system,但至少要有一个block描述。system包含subsystems和
500强低级工程师
·
2019-12-30 20:11
156、第三十二章 所谓极致,就在于这点点滴滴——小谷之于江海
https://mp.weixin.qq.com/s/
uVM
_Oe0o5XFZYD6kh9uvvg各位朋友大家好,今天我们接着来聊《道德经》,第三十二章。
小雯同学Echo
·
2019-12-21 01:59
绘画小白
自学记录
在图书馆工作,除小时喜欢在书本空白处胡乱涂画,由于种种原因到今年差不多三十年没摸过画笔。前段时间偶然浏览手绘和文化艺术专题,内心一种感觉被触动,苏醒了一样,强烈地想学画画,于时买笔买纸,加入一个21天打卡群,开始自学绘画之旅。第一次打卡习作参加21天打卡群,画的都是简单卡通人物。完成21天打卡,有两个个收获:其一,开始动笔画画;其二,知道自己喜欢中国画。在打卡群中,老师强调学画过程中临摹的重要性,
月光洒落
·
2019-12-13 21:26
MongoDB
自学记录
【四】----->mongodb分片集群添加用户权限
MongoDB默认是没有用户权限验证的,所以我们需要手动去添加用户,以便可以更加安全的进行访问,在没添加用户验证之前,通过mongos进入数据库时会有如下的警告:image.png4.1首先建立一个拥有添加删除用户权限的账号db.createUser({user:"useradmin",pwd:"123456",roles:[{role:"userAdminAnyDatabase",db:"adm
alexzwt007
·
2019-11-27 21:55
Ral常见问题解决
通过ral_model.reg/mem.read()/write()发起一个访问请求,在adapter里收到的
uvm
_reg_bus_op有多个,也就是总线上看到的是多个请求,这是因为访问请求的数据宽度大于总线宽度
500强低级工程师
·
2019-10-31 07:08
Unity3d
自学记录
Canvas
Canvas画布,是所有UI元素的载体,有三种渲染模式(RenderMode)。RenderModeOverlay这种渲染模式会将所有UI元素渲染在整个场景的上方,并且自动适应窗口变化。PixelPerfect抗锯齿效果,enmmm,使渲染效果更加顺滑↖(^ω^)↗SortOrder如果有多个Overlay的canvas的话,数值越大,越后渲染(也就是覆盖掉前面渲染过的像素点)TargetDisp
起风了_唯有努力生存
·
2019-09-03 23:22
Unity3d
Unity3d
自学记录
UGUI 3D游戏血条跟随
首先,贴上雨松大大链接(学到了就是我的,哇咔咔(原谅我的病态)):http://www.xuanyusong.com/archives/2644其次,说下我的感受:扎心呀,感觉自己被掏空:如果canvas的RenderMode如上图的话,那么直接就可以将敌人的位置赋给血条,但是会发现,当敌人移出视角后,血条依旧会存在(那么坚强),然后通过2D视角去看,会发现刚刚好,哦!瞬间明白了,原来这个设置适合
起风了_唯有努力生存
·
2019-09-03 23:35
Unity3d
目标检测
自学记录
之tensorflow实现YOLO-v1
目录前言原理tensorflow实现相关参考数据准备训练测试遇到的问题前言本文记录我在学习YOLO-v1过程中参考的相关资料以及部分个人理解。原理相关理论知识,网络上有许多参考资料,我主要通过这篇博客来学习。tensorflow实现我实现的代码都有详细注释,这里不再解释相关参考参考blog:xiaohu版本代码hizhangp版本代码参考github:hizhangp如何进行分类模型的预训练:bl
骇浪涛
·
2019-08-18 12:01
yolo
tensorflow
目标检测
目标检测
一个简单的
UVM
验证平台
2.1验证平台的组成2.1.1.何谓验证平台何谓验证平台?验证最基本的目的在于测试DUT的正确性,其最常使用的方法就是给DUT施加不同的输入(激励),所以一个验证平台最重要的的功能在于产生各种各样不同的激励,并且观测DUT的输出结果,把此结果与期望值比较一下,判断DUT的正确性。注意,这里出现了一个词:期望值。什么是期望值?比如我们的DUT是一个加法器,那么当我们输入1+1时,我们期望DUT输出是
bleauchat
·
2019-08-16 20:36
IC设计相关
UVM
NVIDIA NVML Driver/library version mismatch
lsmod|grepnvidia比如出现下面4个:nvidia_
uvm
6348808nvidia_drm532
Hungryof
·
2019-08-11 21:24
CUDA
《
UVM
实战》——2.3节为验证平台加入各个组件
t=t1本节书摘来自华章社区《
UVM
实战》一书中的第2章,第2.3节为验证平台加入各个组件,作者张强,更多章节内容可以访问云栖社区“华章社区”公众号查看2.3为验证平台加入各个组件2.3.1加入transaction
Daniel雨林
·
2019-08-05 14:34
IC
[
UVM
]通過RAL Test來驗證APB_WR與APB_RD PORT
在驗證寄存器的過程中,同時也驗證了BUS是否能通,APBPORT是否都對。比如有時候會給Modul留2套甚至更多的APB接口,有些只能做Write,有些只能做Read,有些Read與Write都可以。那麼怎麼去驗證這種場景呢?下面提供一種方法作為參考:1、怎麼從testlist中傳遞參數到Bench呢?例如我們可以透過testlist來選擇現在是驗APB_RDPORT還是驗APB_WRPORT。/
gsithxy
·
2019-07-29 08:50
UVM
【修改】基于modeltech64_10.4的
UVM
+System Verilog验证CRC7循环冗余校验
先拷贝大牛们的例子:https://www.cnblogs.com/bettty/p/5285785.htmlAbstract本文介绍
UVM
框架,并以crc7为例进行
UVM
的验证,最后指出常见的
UVM
验证开发有哪些坑
一代程序码农
·
2019-07-25 16:44
机器学习
编程经验
人工智能
芯片折腾
QT自学过程记录(2):QT介绍,QT应用程序框架,项目文件
视频来源以及软件安装教程等参考
自学记录
第一篇,网址如下:QT自学过程记录(1):为什么学习QT,课程安排以及QT安装教程目录1、QT介绍2、QT应用程序框架3、项目文件4、问题方法总结1、QT介绍1、QT
Fighting_Boom
·
2019-07-20 17:10
QT
nvidia-
uvm
: Loaded the
UVM
driver in 8 mode, major device number 237
做神经网络的训练的时候,GPU报错nvidia-
uvm
:LoadedtheUVMdriverin8mode,majordevicenumber237然后重启电脑,进入原始的bios。
boyStray
·
2019-07-11 23:23
uvm
sequence/sequencer example
命令:vcs-full64-sverilog-timescale=1ns/1ps-R-ntb_optsuvm-1.1
uvm
_seq_exam.svimportuvm_pkg::*;`include"
uvm
_macro.svh"typedefseq0
Poisson_Lee
·
2019-07-08 10:44
UVM
极简教程
UVM
(universalverificationmethod)作为通用验证方法学,解决了什么问题?验证平台的规范化验证环境包括激励输入和输出数据的比对。
南方铁匠
·
2019-06-21 17:38
UVM
centos7从零开始安装VCS2016以及运行
UVM
-1.1a
@TOCcentos7从零开始安装VCS2016以及运行
UVM
-1.1a#前期准备1)centos764位系统2)scl11.93)VCS2016艰辛历程前前后后持续了大概半个月时间。
didazhang
·
2019-06-20 14:11
UVM
【
UVM
】include_coverage not located message
debug發現在createralmodel時引入的if(
uvm
_xxx_ral_model==null)beginuvm_xxx_ral_model=ral_
uvm
_xxx::type_id::create
lbt_dvshare
·
2019-04-30 10:13
UVM
UVM
学习之:
UVM
库中常用方法总结
UVM
库中常用方法总结1.
UVM
-1.2库中常在基类中定义返回扩展类对象的方法类的预定义,在定义基类时有时候需要用到将来所定义的扩展类,此时需要进行类的预定义,如以下类并没有真正被定义:预定义的类可被基类的方法使用从而返回一个类的对象
254、小小黑
·
2019-04-22 14:37
UVM
UVM
学习之:
uvm
_object类
uvm
_object类是所有
UVM
数据以及层次结构的基类,其主要的角色是定义一系列的方法,比如一些公共的操作:create(),copy(),compare(),print(),record()等。
254、小小黑
·
2019-04-15 18:52
UVM
Python
自学记录
- 006
课程:Microsoft:DEV274xIntroductiontoPython:Fundamentals课时:Mod1_2-1.2_Intro_Python习题:Task6long_word=“timeline”[]printthelast4lettersoflong_wordinreverse[]printthelettersspanningindexes3to6oflong_wordinRe
Rubywzy
·
2019-04-09 10:57
Pyhon学习笔记
The
UVM
Primer -- chapter 23
UVM
Sequence
chapter23UVMSequence此前,我们通过tester/put&getport/driver将激励和测试平台分割开来,但是我们并没有将datastimulus从structure中分离出来。tester需要创建新的transaction,并将其送至测试平台,这意味着tester需要选择transaction的顺序,并经其送至测试平台。我们可以通过overridetransactiont
我不是悍跳狼丶
·
2019-04-03 23:46
UVM
Python
自学记录
- 005
课程:Microsoft:DEV236xIntroductiontoPython:AbsoluteBeginner课时:FinalRequiredCode习题:Program:adding_report()functionThisprogramcallstheadding_report()functionwhichrepeatedlytakespositiveintegerinputuntilth
Rubywzy
·
2019-03-26 10:21
Pyhon学习笔记
Python
自学记录
- 004
课程:Microsoft:DEV236xIntroductiontoPython:AbsoluteBeginner课时:Module4RequiredCodeDescription习题:SomeAssignmentRequirementsThisprogramrequirestheuseof:whilelooptogetnon-emptyinputif,elseif,else(nested).is
Rubywzy
·
2019-03-21 09:46
Pyhon学习笔记
Python
自学记录
- 003
课程:Microsoft:DEV236xIntroductiontoPython:AbsoluteBeginner课时:Practice_MOD04_1-6_IntroPy.ipynb习题:**Program:shirtorderFirstgetinputforcolorandsizeWhitehassizesL,MBluehassizesM,Sprintavaiableorunavailable
Rubywzy
·
2019-03-19 09:37
Pyhon学习笔记
Python
自学记录
- 002
课程:Microsoft:DEV236xIntroductiontoPython:AbsoluteBeginner课时:MOD04_1-7.2_Intro_Python.ipynb习题:Task2UsingwhilewithaBooleanStringProgram:LongNumberCreatevariablesint_numandgetuserinputstringofonlydigitsl
Rubywzy
·
2019-03-16 10:39
Pyhon学习笔记
UVM
启动shell脚本:example
文件内容如下:if[-z"需要检测的环境变量"];thenecho"Uneedtoset..."exit1elseset-xexportPROJECT_HOME=“工程目录”rm-rf"每次启动仿真生成的一些临时文件"gcc-m64-fPIC-shared-Bsymbolic-oC_dpi.soC_dpi.c-I"要查找的第一个头文件目录"vcs*.so+vcs+lic+wait-sverilog
ZYZ_DIDO
·
2019-03-01 16:08
一站式UVM
Unity3d
自学记录
A*算法实现
概述使用上下左右移动浅黄色方块,黑色方块每三秒进行寻路一次,路径被红色方块进行标记,黑色方块沿着红色方块追踪浅黄色方块。实现过程中遇到的坑点1.Destroy这里用到小正方标记路径,更新的时候会Destroy之前的小方块,再加上之前的做法直接是获取小正方体的位置,然后黑色方块进行移动,所以就出现了问题,Actualobjectdestructionisalwaysdelayeduntilafter
起风了_唯有努力生存
·
2019-02-27 19:51
Unity3d
Unity3d
自学记录
SceneManager相关
之前因为没有好好的看一看官方文档,所以掉进了深坑里,回头看看并记录一些零碎的坑。首先要区分加载(Load)和活性(Active)加载场景到游戏中,并不代表该场景具有活性SceneManager.LoadScene("YourScene",LoadSceneMode.Single);一般使用该方法时默认是LoadSceneMode.Single,这个值的意思是关闭掉所有场景之后,再进行加载,那加载的
起风了_唯有努力生存
·
2019-02-25 20:03
Unity3d
RISC-V学习整理
以下为作者为
自学记录
内容,文章仅抛砖引玉,有学习需要的同学还需以官网及risc-v专家的书籍为准。有错误欢迎指出,共同学习进步。
北落师门|
·
2019-02-22 16:23
[SV]SystemVerilog中randomize() with{}注意事項
若果相同,求解器會認為兩邊是一樣的,導致約束不生效,例如:classdma_seqextendsuvm_sequence#(dma_trans); bit[39:0] addr; `
uvm
_do_with
gsithxy
·
2019-02-22 09:03
SystemVerilog
中国大学MOOC课程《Python语言程序设计》第7章 政府工作报告 词云使用 代码解析
自学记录
#讲解思路:#1、读取文件、分词整理#2、设置并输出词云#3、观察结果,优化迭代importjiebaimportwordcloudfromscipy.miscimportimread#需要安装scipy库,在pycharm下安装还是比较方便的#这个作用是用来让词云生成特定图形形状的mask=imread('fivestar.png')#f=open('新时代中国特色社会主义.txt',"r",e
qlovepeng1314
·
2019-02-13 06:52
python
training
中国大学MOOC课程《Python语言程序设计》课后练习
自学记录
4-5三次登陆机会 两种解法对照
给用户三次输入用户名和密码的机会,要求如下:1)如输入第一行输入用户名为‘Kate’,第二行输入密码为‘666666’,输出‘登录成功!’,退出程序;
qlovepeng1314
·
2019-02-09 16:08
python
training
中国大学MOOC课程《Python语言程序设计》课后练习
自学记录
3.2课后程序题 凯撒密码 两种解法 字符串处理
恺撒密码是古罗马恺撒大帝用来对军事情报进行加解密的算法,它采用了替换方法对信息中的每一个英文字符循环替换为字母表序列中该字符后面的第三个字符,即,字母表的对应关系如下:原文:ABCDEFGHIJKLMNOPQ
qlovepeng1314
·
2019-02-09 12:58
python
training
中国大学MOOC课程《Python语言程序设计》课后练习
自学记录
1.2课后程序题 N的次方
编写一个程序,计算输入数字N的0次方到5次方结果,并依次输出这6个结果,输出结果间用空格分隔。其中:N是一个整数或浮点数。'''N=eval(input('Pleaseinputanintegarorafloat:'))Nb=Nprint(1,N,end='')foriinrange(4):Nb=Nb*Nprint(Nb,end='')N=eval(input('Pleaseinputanumbe
qlovepeng1314
·
2019-02-03 01:28
python
training
Unity3d
自学记录
AssetBundles相关
引言先想两个问题:1.资源较多,导致安装包过大。o(≧口≦)o2.已经上架的游戏某些资源文件搞错了。┻━┻︵╰(‵□′)╯︵┻━┻解决方案将这些资源文件通过LZMA或者LZ4算法进行压缩,打包,上传至服务器,需要的时候使用http协议进行下载,解压,使用。AssetBundles(别问我为什么要加s)|ω・)根据官方文档描述:可以将资源(序列化文件以及源文件)进行打包,并解决了包和包之间的依赖关系
起风了_唯有努力生存
·
2019-01-20 14:43
Unity3d
Unity3d
自学记录
关于游戏截屏
引言游戏截屏在游戏中是非常常见的,那游戏中怎么做到保存精彩的画面呢?要点(比较重要)1.ScreenCapture类的简单使用2.Texture2d的简单使用3.RenderedTexture的简单使用4.System.IO.File的简单使用5.Rect的简单使用6.协程的简单使用(了解)7.Application.dataPath8.Application.persistentDataPath
起风了_唯有努力生存
·
2019-01-19 19:21
Unity3d
Unity3d
自学记录
实现画板功能
要点1.GL类的简单使用2.Texture2D的简单使用3.List的简单使用实现功能利用鼠标左键进行绘图,写字,利用鼠标右键按下进行将绘出的图形记录到Quad对象上,Quad可根据当前屏幕宽高自动调节大小,达到不改变原图的目的。附动图(伪动图系列)思路从一条线来说,利用List存下所有鼠标位置,先是在OnRenderObject(它是回调函数哦)里利用GL进行频繁刷新,按下鼠标右键,将一个个点根
起风了_唯有努力生存
·
2019-01-17 23:19
Unity3d
Unity3d
自学记录
Unity3d与MySql交互
前言:这几天用Unity3d与Mysql制作了一个汽车租赁系统,enmmm(无奈之举),里面有用到Mysql的一些操作,因为自己不常用,所以就记不住,所以索性这次记录一下。准备需要在UnityProject里新建一个文件夹,命名:Plugins,下一步就是有两个文件需要放进去,这里放一下链接链接:https://pan.baidu.com/s/1v3CuVxlee8ckpJKrxKSFcw提取码:
起风了_唯有努力生存
·
2018-12-17 15:20
Unity3d
Unity3d
自学记录
关于帧率(fps)
首先帧率是什么?游戏单位时间内刷新的次数,一般越高越好,30fps视为流畅。其中的f就是英文单词Frame(画面、帧),p就是Per(每),s就是Second(秒)。那,在Unity3d里。如何去计算帧率呢?帧率=固定时间的帧数/固定时间usingSystem.Collections;usingSystem.Collections.Generic;usingUnityEngine;usingUni
起风了_唯有努力生存
·
2018-11-02 09:55
Unity3d
上一页
9
10
11
12
13
14
15
16
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他