【Questasim】Cannot find `include file "XXXXXX" in directories

在编译时出现这个错误。使用的编译配置为

set PROJECT_DIR  C:/questasim_10.1b/bishe
set IIC_AGENT_DIR ${PROJECT_DIR}/iic/agents/iic_agent  
set WB_AGENT_DIR ${PROJECT_DIR}/iic/agents/wb_agent
set IIC_SEQUENCES_DIR ${PROJECT_DIR}/iic/iic_tb/sequences
set IIC_TB_DIR ${PROJECT_DIR}/iic/iic_tb/tb
set IIC_TEST_DIR ${PROJECT_DIR}/iic/iic_tb/test
set IIC_ENV_DIR ${PROJECT_DIR}/iic/iic_tb/env
set IIC_RTL_DIR ${PROJECT_DIR}/iic/rtl
set INC_DIRS "+incdir+${IIC_AGENT_DIR}+${IIC_AGENT_DIR}/bits+${IIC_AGENT_DIR}/bytes"
set INC_DIRS ${INC_DIRS}"+"${WB_AGENT_DIR}
set INC_DIRS ${INC_DIRS}"+${PROJECT_DIR}/iic/iic_tb/sequences"
set INC_DIRS ${INC_DIRS}"+"${IIC_TEST_DIR}
set INC_DIRS ${INC_DIRS}"+"${IIC_ENV_DIR}
set INC_DIRS ${INC_DIRS}"+"${IIC_RTL_DIR}
set INC_DIRS ${INC_DIRS}"+"${IIC_TB_DIR}
vlib work
vlog -timescale "1ns/1ns" ${IIC_TB_DIR}/global_defs_pkg.svh
vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_AGENT_DIR}/iic_agent_pkg.sv
vlog -timescale "1ns/1ns" ${INC_DIRS} ${WB_AGENT_DIR}/wb_agent_pkg.sv
vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_SEQUENCES_DIR}/iic_seq_pkg.sv
vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_SEQUENCES_DIR}/wb_seq_pkg.sv
vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_SEQUENCES_DIR}/iic_vseq_pkg.sv
vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_ENV_DIR}/iic_env_pkg.sv
vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_TEST_DIR}/iic_test_pkg.sv

vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_RTL_DIR}/*.v
vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_TB_DIR}/iic_fcov_monitor.svh
vlog -timescale "1ns/1ns" ${INC_DIRS} ${IIC_TB_DIR}/iic_tb.sv

vopt +cover=bcesxf10+/top/dut/ +acc top -o top_opt

出现的报错为:

# ** Error: C:/questasim_10.1b/bishe/iic/iic_tb/test/iic_test_pkg.sv(76): Cannot find `include file "iicMasterTxTxLongArbTest/iicTest_MasterTxTxLongArb_Vseq.svh" in directories:
#     C:/questasim_10.1b/bishe/iic/agents/iic_agent, C:/questasim_10.1b/bishe/iic/agents/iic_agent/bits, C:/questasim_10.1b/bishe/iic/agents/iic_agent/bytes", "C:/questasim_10.1b/bishe/iic/agents/wb_agent", C:/questasim_10.1b/bishe/iic/iic_tb/sequences"", "C:/questasim_10.1b/bishe/iic/iic_tb/test", "C:/questasim_10.1b/bishe/iic/iic_tb/env", "C:/questasim_10.1b/bishe/iic/rtl", "C:/questasim_10.1b/bishe/iic/iic_tb/tb, C:/questasim_10.1b/ovm-2.1.2/../verilog_src/ovm-2.1.2/src, C:/questasim_10.1b/uvm-1.1a/../verilog_src/uvm-1.1a/src

很明显是因为include文件没有被找到,但是我明明已经包含了这个文件夹。很多include文件没有找到,所以出了很多连带错误。

最终的解决办法是手动再导入一些dictorys

参考https://blog.csdn.net/hengzo/article/details/52007923

成功解决

你可能感兴趣的:(questasim)