vivado学习第一天led流水灯编程

*vivado学习第一天led流水灯编程

  1. IBUFGDS原语使用
    与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等。
    IBUFGDS 自定义名字(
    .I(),
    .IB(),
    O()
    );
    其中I后接差分时钟的p信号,IB接差分时钟的n信号,O接输出的单端信号,IBUFGDS一般用作全局差分信号的处理,将全局的差分时钟转换为单端时钟。

  2. xdc约束文件的一些基本语法
    下面来介绍一下最基本的 XDC 编写的语法,普通 IO 口只需约束引脚号和电压,
    管脚约束如下:
    set_property PACKAGE_PIN “引脚编号” [get_ports “端口名称”]
    电平信号的约束如下:
    set_property IOSTANDARD “电压” [get_ports “端口名称”]
    这里需要注意文字的大小写,端口名称是数组的话用{ }刮起来,端口名称必须和源代码中
    的名字一致,且端口名字不能和关键字一样。
    时钟端口还可以定义时钟周期约束,差分时钟只要定义和约束 P 脚的。比如我们在 XDC
    里面定义了输入的差分时钟的时钟周期为 5ns。 时钟周期的约束方法如下:
    create_clock -period “周期” [get_ports “端口名称”]
    这里核心板上的 LED 灯,也就是程序中 led[2],是连接到 1.5V 电压的 Bank,所以电压这
    里是 LVCMOS15。
    完成后选择菜单 File->Save all files 保存所有文件。


[1]黑金AX7102开发板verilog教程

你可能感兴趣的:(VIVADO)