最简单的uvm程序和vcs编译命令

1. 代码

最简单的uvm程序和vcs编译命令_第1张图片

2. VCS 命令以及解释

最简单的uvm程序和vcs编译命令_第2张图片

	+incdir+directory               添加文件的搜索路径
    -CC                       "opts" pass 'opts' to C compiler
	-CFLAGS                      "opts" pass 'opts' to C compiler    // cc 和 cflags 作用相同
	-DVCS                            当我们使用外部的UVM源(库?)时,必须使用-DVCS选项
	$(UVM_HOME)/src/dpi/uvm_dpi.cc   导入 DPI-C 的程序 (一般要和 -CC 和 -DVCS 一起用)
	+acc                        Enable pli applications to use acc routines (see manual)
	-sverilog                        Enables the use of SystemVerilog code
	+vcs+lic+wait                    等待vcs的license
	-l file_name                 logfile文件名
	-R                               在编译之后立即执行产生的可执行文件         

vcs option 链接1
vcs option 链接2
关于 -DVCS 的解释

3. 输出

最简单的uvm程序和vcs编译命令_第3张图片

你可能感兴趣的:(Systemverilog)