- 【xilinx】解决vivado中 I/O 时钟布局器错误
神仙约架
xilinxfpga开发时钟vivado时钟布局
典型时钟AMD设备上的典型时钟电路结构如下:输入端口(IBUF)→BUFG→FDCE/C如果使用MMCM或PLL修改时钟,则其结构如下:输入端口(IBUF)→BUFG→MMCM/PLL→BUFG→FDCE/C对于GT时钟,其结构如下:GT_QUAD→BUFG_GT→FDCE/CI/O时钟布局阶段可能会发生错误,表明该工具无法放置时钟结构直到最后一个BUFG。分析发生这种情况的原因可能有多种:时钟结
- Vivado中如何修改IP源文件
jjzw1990
vivado技巧fpga开发
前一篇文章是通过改变JESD204BIP的设置,在SharedLogic里勾选inexampledesign,来避免共用输入时钟的问题。那么还有没有别的办法呢?有没有更直接点的实现方式呢?答案是肯定的:可以直接修改IP,将IBUFDS从IP里移出去,放到外面就可以共用了。修改IP是一个比较复杂的办法,需要谨慎使用!参考手册UG896,有中文版,如下是相关内容的截图。另外可以参考如下路径的描述:57
- FPGA时钟资源与设计方法——Xilinx(Vivado)
CWNULT
fpga开发
目录1FPGA时钟资源2时钟设计方案1FPGA时钟资源1.时钟资源包括:时钟布线、时钟缓冲器(BUFG\BUFR\BUFIO)、时钟管理器(MMCM/PLL)。2.时钟类型有三种:全局时钟,可以驱动整个内核上的同步逻辑;局部时钟,可以驱动特定和相邻区域的逻辑;IO时钟,可以驱动某个IO的特定逻辑。3.混合模式时钟管理器(MMCM)和数字时钟管理器(DCM),DCM与MMCM设计差别很大。4.时钟复
- vivado里的LUT、LUTRAM、FF、BRAM、DSP、IO、BUFG、MMCM资源介绍
迎风打盹儿
Vivado的学习之路fpga开发信号处理信息与通信
vivado里的LUT、LUTRAM、FF、BRAM、DSP、IO、BUFG、MMCM资源介绍提示:以下是本篇文章正文内容,写文章实属不易,希望能帮助到各位,转载请附上链接。vivado实现电路用到的资源类型LUT(Look-UpTable):查找表,它接收一组输入信号,并根据预先定义的逻辑函数表(Look-UpTable)输出结果,LUT可以实现任意逻辑函数,如与、或、非、异或等。LUTRAM(
- 【xilinx primitives 】01 IBUFDS and IBUFGDS
hcoolabc
FPGA硬件工程
参考源:①差分原语对应的用法和规则与单端SelectIO原语类似。②差分SelectIO原语有两个与器件焊盘之间的引脚,以显示差分对中的P和N通道引脚。③N通道引脚具有B后缀。④IBUFDS和IBUFGDS原语是相同的,当差分输入缓冲器用作时钟输入时,使用IBUFGDS。该设计元素是一个输入增益器,支持低电压、差分信号。在IBUFDS中,设计级接口信号表示为两个不同的端口(I和IB),一个被视为“
- 【FPGA】7系列 FPGA时钟资源及时钟IP核配置 Xilinx
原地打转的瑞哥
fpga开发ip
7系列FPGA时钟资源及时钟IP核配置Xilinx7系列时钟资源1.分类全局时钟,区域时钟2.7系列时钟结构ClockBackbone:全局时钟线将芯片分成左右两个时钟区域;HorizontalCenter:水平时钟线将芯片分成多行时钟区域。3.三个重要的时钟BUFG:全局时钟,可以驱动整个芯片的IO和其他逻辑;BUFR:区域时钟,一般只驱动各时钟区域的IO和其他逻辑;BUFIO:IO时钟,只能驱
- ALINX_ZYNQ_MPSoC开发平台FPGA教程:PL的点灯实验
崽崽今天要早睡
#▶FPGA入门例程fpga开发
前言目标:每秒翻转一次LED我会在前言中记录自己通过本实验学到的东西ZYNQ-7000的PL部分使用的时钟是200M的差分时钟,通过有源晶振提供(有源:一上电就产生时钟信号),而PS部分使用的也是有源时钟,但是是50M的单端时钟由于PL部分的200M差分时钟,因此需要使用IBUFDS将差分时钟转为单端时钟,如下图正文一、点灯设计程序要实现1秒翻转,就需要一个1秒的计数器,而使用的时钟是200M,那
- 【XILINX】Vivado - 严重警告:[Vivado 12-1411] Cannot set LOC property of ports, The pin ~ 无法设置端口的 LOC 属性
神仙约架
xilinxfpga开发xilinxVivado12-1411
项目场景:尝试在VCU108板上实现MicroBlaze系统,但我在时钟系统方面遇到了问题。问题描述我已将时钟向导设置为在单端运行模式输入时钟中具有自定义板接口。在我的顶级文件中,我实例化了一个IBUFDS,用于将差分时钟(MGT_SI570_CLOCK2_C_N和MGT_SI570_CLOCK2_C_P)转换为单端时钟,并将其输入到MB的时钟输入引脚。它会导致以下严重警告:[Vivado12-1
- 基于Xilinx bitslice原语实现delay可调整的MIPI DPHY
leixj025
FPGAfpga开发
背景Xilinx自带的DPHY在1.5G时无法调整dataline的delay值,在需要调整数据线延时的场景可使用文中方案,且有较少的资源占用。使用IBUFDS_DPHY,BITSLICE,BITSLICE_CONTROL实现MIPIDPHY。包含1条clocklane,4条datalane。参考《UG571ultralscaleselectio》。1时钟域随路时钟由时钟RX_BITSLICE_0
- FPGA——XILINX原语(1)
云影点灯大师
fpga开发fpga
FPGA——XILINX原语(1)1.时钟组件(1)BUFG(2)BUFH(3)BUFR(4)BUFIO(5)使用场景2.IO端口组件(1)IDDR(2)ODDR(3)IDELAY1.时钟组件时钟结构(1)BUFG输入输出(2)BUFH输入输出(3)BUFR可以进行分频,就不用进入PLL了输入输出(4)BUFIO输入输出(5)使用场景2.IO端口组件HR是3HP是2(1)IDDR其中ILOGICE
- vivado 自动派生时钟
cckkppll
fpga开发
自动派生时钟自动派生的时钟也称为自动生成的时钟。VivadoIDE自动在时钟修改块(CMB)的输出引脚上创建这些的约束,只要已经定义了相关的主时钟。在AMD7系列设备系列中,CMB有:•MMCM*/PLL*•BUFR•相位器*在AMDUltraScale中™设备系列,CMB是:•MMCM*/PLL*•BUFG_GT/BUFGCE_DIV•GT*_COMMON/GT*_CHANNEL/IBUFDS_
- Xilinx 7系列FPGA时钟篇(2)_时钟区域简介
苏十一0421
作者:XiaoQingCaiGeGe原文链接上一篇介绍了7系列FPGA的整体时钟架构,FPGA是由很多个时钟区域组成,时钟区域之间可以通过ClockBackbone和CMTBackbone来统一工作。本篇咱们就说一下时钟区域的内部结构,如图1所示的虚线框内即为一个时钟区域:时钟区域结构图Tips1.BUFG即为全局时钟缓冲器,从图上看到,其输出时钟通过ClockBackbone可以到达任意一个时钟
- Xilinx原语详解——IBUFDS & OBUFDS
电路_fpga
fpga开发
在使用FPGA时,往往会用到一些差分信号,比如HDMI接口,LVDS接口的ADC、显示器等等设备,而FPGA内部往往只会使用单端信号,就需要完成单端信号和差分信号的相互转换,xilinx提供了两个原语对所有IO信号实现差分和单端的转换,IBUFDS将FPGA输入的差分信号转换为单端信号,而OBUFDS负责把FPGA内部的单端信号转换为差分信号输出。1、IBUFDS IBUFDS是一个支持低电
- vivado实现分析与收敛技巧9-分析使用率统计数据
cckkppll
fpga开发
实现问题的常见原因之一是未考量显式和隐式物理约束。例如,管脚分配(pinout)在逻辑布局上变为显式物理约束。slice(分片)逻辑在大部分器件中都是一致的。但如下专用资源表示的是隐式物理约束,因为这些资源仅在某些位置可用,并且会影响逻辑布局:•I/O•千兆位收发器•DSPslice•块RAM•时钟管理块,如MMCM•时钟缓冲器,如BUFG在为设计的其余部分设计接口时,大量耗用这些专用资源的块可能
- Xilinx 差分信号 LVDS传输实战
一个早起的程序员
FPGALVDS差分传输XilinxFPGA
目录1.LVDS的概念2.XILINXFPGA差分信号解决方案(1)IBUFDS(2)OBUFDS(3)IOBUFDS(三态差分输入输出)3.LVDS中的终端电阻4.LVDS电气特性(1)LVDS25(2)LVDS255.LVDS自环测试1.LVDS的概念LVDS(LowVoltageDifferentialSignalin)是一种低振幅差分信号技术。它使用幅度非常低的信号(约350mV)通过一对
- RGMII回环:IDDR+ODDR+差分接口
千歌叹尽执夏
FPGAFPGA开发IDDRODDRLVDS
目录一、实验内容二、原理解释三、程序1、顶层文件:2、子模块2.1oddr模块2.2、iddr顶层模块2.3、iddr子模块3、仿真4、注意5、下载工程及仿真一、实验内容1、通过IDDR和ODDR的方式完成RGMII协议;2、外部接口使用OBUFDS、IBUFDS转换成差分接口;3、数据转换及传输:顶层文件自己产生100次数,每个数都是8bit,传给oddr模块,oddr模块经过转换再传出4bit
- xilinx primitives(原语)
意大利的E
fpga开发
Xilinx的原语分为10类,包括:计算组件,IO端口组件,寄存器/锁存器,时钟组件,处理器组件,移位寄存器,配置和检测组件,RAM/ROM组件,Slice/CLB组件,G-tranceiver。我们可以在vivado的languagetemplate中查看相关提示:不同的原语直接搜索就可以找到模板,这里以oddr为例常见的xilinxprimitivesBUFG:全局缓冲,BUFG的输出到达FP
- place30-640:the design requires more BUFG cells than are available in the target
薛定谔的bug~
fpga开发
vivado在实现的时候报错,显示BUFG资源不够。place30-640:thedesignrequiresmoreBUFGcellsthanareavailableinthetarget.作者将IP核封装成网表之后,工程实现的时候显示BUFG资源不够,但是,在未将IP核封装网表的时候资源是足够的。于是查看了在封装网表前后的资源报告发现,有一个IP核在不封装网表时,不需要BUFG资源,封装网表后
- xilinx的原语的使用
@晓凡
FPGA学习之路fpga开发
xilinx的原语的使用在学习FPGA实现千兆网时需要GMII转RGMII,这就涉及了原语的使用,特此记录!一、原语与RGMII接口相关的原语:BUFG:全局时钟网络BUFIO:只能采集IO的数据,采集IO数据的时候延时是最低的IDDR:双沿数据的采样,输出多位的数据ODDR:输出,将输入的两bit数据在时钟的双沿进行输出,输出1位的双沿数据。IDELAYE2和IDELAYCTRL:对数据进行延迟
- Vivado MMCM和PLL的区别 新人不想看,老人用不到系列.
小五头
FPGAfpga
全局时钟和区域时钟的区别!全局时钟(BUFG)和区域时钟(BUFR)的区别:全居可以对所有IO口提供驱动时钟,区域只能对一片区域提共时钟.PLL和MMCM区别:最大的区别MMCM可以实现动态调整,PLL没有办法实现动态雕整.在杂程序设计时候将时钟进入PLL或MMCM,多少Mhz进多少Mhz出,这样可以让时钟更加稳定.文章目录全局时钟和区域时钟的区别!原理图介绍IP核设置总结这个是一张赛灵思7系列F
- xilinx常用buf
火眼金睛实现统一美
xilinxFPGA系列verilog
xilinx常用bufIBUFDSIBUFDS_GTE2OBUFDSBUFGBUFG_GTIOBUFIBUFDS_GTE3/4IBUFDS差分输入buf,若DIFF_TERM设为TRUE,内部设置100欧终端电阻。IBUFDS#(.DIFF_TERM("FALSE"),//DifferentialTermination.IBUF_LOW_PWR("TRUE"),//Lowpower="TRUE",
- BUFG/BUFGCE/BUFH/BUFHCE/BUFH/BUFGHCE/BUFMR/BUFMRCE/BUFR/IBUF/IBUFDS
Fighting_XH
循序渐进fpga开发
本文对BUFG/BUFGCE/BUFH/BUFHCE简单介绍,便于后续查看。原语的使用:在vivado中找到所要用的原语,直接将其实例化到设计中即可。文章目录BUFGBUFGCEBUFHBUFHCEBUFMRBUFRBUFMRCEIBUFIBUFDS下图为7系列FPGA时钟架构图:BUFG全局时钟缓冲。它的输入是IBUFG的输出,BUFG的输出到达FPGA内部的IOB、CLB、选择性块RAM的时钟
- MRCC SRCC
qq_742875810
fpga开发
MRCC:multileregionclockcableSRCC:singleregionclockcable都是全局时钟资源。SRCC进来的时钟,虽然不能直接驱动其他clockregion,但接到BUFG上之后,仍然可以通过BUFG来驱动其他的clockregion
- FPGA之时钟规划图解
知识充实人生
FPGA所知所见所解fpga开发时钟规划时钟分布图vivado
目录一、前言二、时钟规划概念三、时钟规划的模块3.1时钟BUF3.2时钟源四、时钟规划之时钟单元布局4.1BUFG4.2BUFH4.3BUFR4.4BUFIO五、时钟规划之时钟单元走线5.1BUFG->BUFH5.2BUFR->FF5.3BUFIO->FF一、前言对于vivado这类使用verilog语言的进行工程设计的工具,软件的时钟规划设计是至关重要的一个环节,下面将针对软件时钟规划的设计原理
- 7 系列 FPGA GTX 时钟架构浅析
有点、
fpgagtx
主要参考Xilinx官方提供的ug476首先看图,明确一下Quad的概念Quad(Q):是集成了四个gtx2_channel和一个gtx2_common下图是Q的内部结构可以看出来一个Q含有两个IBUFDS_GTE2原语,其中输入可选,可以是MGTREFCLKP/N0,也可以是MGTREFCLKP/N1(此时钟一般为板子上的时钟),原语使用如下:IBUFDS_GTE2ibufds_instQ0_C
- FPGA - 7系列 FPGA内部结构之Clocking -01- 时钟架构概述
Vuko-wxh
#7系列FPGA内部结构fpga开发
前言本文节选UG472的第一章,进行整理翻译,主要用于介绍7系列的FPGA的时钟架构以及与前几代的FPGA的区别,并总结了时钟连接的相关使用方法。文章目录前言时钟架构概述时钟布线资源概述CMT概述时钟缓冲器、管理和路由时钟区域的基本视图单个时钟域的详细视图全局BUFG和区域BUFH/CMT/CC引脚连接BUFR/BUFMR/BUFIO时钟区域7系列FPGA时钟与前几代FPGA的区别与Virtex-
- 零基础学FPGA(六):FPGA时钟架构(Xilinx为例,完整解读)
千歌叹尽执夏
零基础学FPGAfpga开发Xilinx时钟架构
目录日常·唠嗑一、时钟资源(及布线)概述二、时钟架构-解读2.1、时钟整体架构2.2、时钟区域-内部架构2.2.1、区域整体2.2.2、区域细节三、时钟术语-解读(及使用方法)3.1、BUFG3.2、BUFH3.3、BUFIO/BUFR/BUFMR3.4、CC3.5、CMT3.6、时钟资源的驱动关系四、其他概念-补充说明五、参考文献六、总结日常·唠嗑不知不觉已经离开校园,工作了4个月。上班后,发现
- ZYNQ7020系列——PLL学习
一只活蹦乱跳的大鲤鱼
SocKitfpga开发Verilog
主要是PLL内部结构的知识:32个BUFG,上下各16个,划分为ClockRegion,每个块的时钟就是BUFR。BUFG可以通过ClockBackbone到达任意一个ClockRegion,以及通过HROW到达ClockRegion内部的每个时钟单元,路径延时低。当使用逻辑资源多时,必须使用BUFG,少的时候可以用BUFR。BUFH只能通过HROW在左右相邻的时钟域工作。BUFMR可以工作在相邻
- Verilog功能模块——时钟分频
徐晓康的博客
Verilogverilogsystemverilog时钟分频功能模块
一.模块功能与应用场景模块功能:对输入时钟进行任意倍数分频。应用场景:需要对时钟进行分频,而PLL不能满足要求或者使用起来不方便需要固定倍数关系的时钟二.模块框图与使用说明通过参数DIV控制分频系数,输出div_clk=clk/DIV。注意:1.原始div_clk是门控时钟,一般不推荐使用。但Vivado软件综合时会自动给div_clk加BUFG,使其使用全局时钟资源变为全局时钟,故此处不在代码中
- ZYNQ芯片底层结构
Leo_9824
ZYNQFPGAfpga
开发ZYNQ时了解它的底层是有一定帮助的。那么它的底层是啥样呢?1、总览它的每一个bank所包含的元素都是相同的2、bank41)bank中包含1个PLL、1个MMCM、若干IO、IDELAY、ODELAY、IN_FIFO、OUT_FIFO、BUFR、BUFIO、DSP48、SLICE、BRAM、BUFH、BUFG等。2)每个bank都是上下对称的(所含元素相同)。3)每个bank中只有两个时钟管
- ASM系列四 利用Method 组件动态注入方法逻辑
lijingyao8206
字节码技术jvmAOP动态代理ASM
这篇继续结合例子来深入了解下Method组件动态变更方法字节码的实现。通过前面一篇,知道ClassVisitor 的visitMethod()方法可以返回一个MethodVisitor的实例。那么我们也基本可以知道,同ClassVisitor改变类成员一样,MethodVIsistor如果需要改变方法成员,注入逻辑,也可以
- java编程思想 --内部类
百合不是茶
java内部类匿名内部类
内部类;了解外部类 并能与之通信 内部类写出来的代码更加整洁与优雅
1,内部类的创建 内部类是创建在类中的
package com.wj.InsideClass;
/*
* 内部类的创建
*/
public class CreateInsideClass {
public CreateInsideClass(
- web.xml报错
crabdave
web.xml
web.xml报错
The content of element type "web-app" must match "(icon?,display-
name?,description?,distributable?,context-param*,filter*,filter-mapping*,listener*,servlet*,s
- 泛型类的自定义
麦田的设计者
javaandroid泛型
为什么要定义泛型类,当类中要操作的引用数据类型不确定的时候。
采用泛型类,完成扩展。
例如有一个学生类
Student{
Student(){
System.out.println("I'm a student.....");
}
}
有一个老师类
- CSS清除浮动的4中方法
IT独行者
JavaScriptUIcss
清除浮动这个问题,做前端的应该再熟悉不过了,咱是个新人,所以还是记个笔记,做个积累,努力学习向大神靠近。CSS清除浮动的方法网上一搜,大概有N多种,用过几种,说下个人感受。
1、结尾处加空div标签 clear:both 1 2 3 4
.div
1
{
background
:
#000080
;
border
:
1px
s
- Cygwin使用windows的jdk 配置方法
_wy_
jdkwindowscygwin
1.[vim /etc/profile]
JAVA_HOME="/cgydrive/d/Java/jdk1.6.0_43" (windows下jdk路径为D:\Java\jdk1.6.0_43)
PATH="$JAVA_HOME/bin:${PATH}"
CLAS
- linux下安装maven
无量
mavenlinux安装
Linux下安装maven(转) 1.首先到Maven官网
下载安装文件,目前最新版本为3.0.3,下载文件为
apache-maven-3.0.3-bin.tar.gz,下载可以使用wget命令;
2.进入下载文件夹,找到下载的文件,运行如下命令解压
tar -xvf apache-maven-2.2.1-bin.tar.gz
解压后的文件夹
- tomcat的https 配置,syslog-ng配置
aichenglong
tomcathttp跳转到httpssyslong-ng配置syslog配置
1) tomcat配置https,以及http自动跳转到https的配置
1)TOMCAT_HOME目录下生成密钥(keytool是jdk中的命令)
keytool -genkey -alias tomcat -keyalg RSA -keypass changeit -storepass changeit
- 关于领号活动总结
alafqq
活动
关于某彩票活动的总结
具体需求,每个用户进活动页面,领取一个号码,1000中的一个;
活动要求
1,随机性,一定要有随机性;
2,最少中奖概率,如果注数为3200注,则最多中4注
3,效率问题,(不能每个人来都产生一个随机数,这样效率不高);
4,支持断电(仍然从下一个开始),重启服务;(存数据库有点大材小用,因此不能存放在数据库)
解决方案
1,事先产生随机数1000个,并打
- java数据结构 冒泡排序的遍历与排序
百合不是茶
java
java的冒泡排序是一种简单的排序规则
冒泡排序的原理:
比较两个相邻的数,首先将最大的排在第一个,第二次比较第二个 ,此后一样;
针对所有的元素重复以上的步骤,除了最后一个
例题;将int array[]
- JS检查输入框输入的是否是数字的一种校验方法
bijian1013
js
如下是JS检查输入框输入的是否是数字的一种校验方法:
<form method=post target="_blank">
数字:<input type="text" name=num onkeypress="checkNum(this.form)"><br>
</form>
- Test注解的两个属性:expected和timeout
bijian1013
javaJUnitexpectedtimeout
JUnit4:Test文档中的解释:
The Test annotation supports two optional parameters.
The first, expected, declares that a test method should throw an exception.
If it doesn't throw an exception or if it
- [Gson二]继承关系的POJO的反序列化
bit1129
POJO
父类
package inheritance.test2;
import java.util.Map;
public class Model {
private String field1;
private String field2;
private Map<String, String> infoMap
- 【Spark八十四】Spark零碎知识点记录
bit1129
spark
1. ShuffleMapTask的shuffle数据在什么地方记录到MapOutputTracker中的
ShuffleMapTask的runTask方法负责写数据到shuffle map文件中。当任务执行完成成功,DAGScheduler会收到通知,在DAGScheduler的handleTaskCompletion方法中完成记录到MapOutputTracker中
- WAS各种脚本作用大全
ronin47
WAS 脚本
http://www.ibm.com/developerworks/cn/websphere/library/samples/SampleScripts.html
无意中,在WAS官网上发现的各种脚本作用,感觉很有作用,先与各位分享一下
获取下载
这些示例 jacl 和 Jython 脚本可用于在 WebSphere Application Server 的不同版本中自
- java-12.求 1+2+3+..n不能使用乘除法、 for 、 while 、 if 、 else 、 switch 、 case 等关键字以及条件判断语句
bylijinnan
switch
借鉴网上的思路,用java实现:
public class NoIfWhile {
/**
* @param args
*
* find x=1+2+3+....n
*/
public static void main(String[] args) {
int n=10;
int re=find(n);
System.o
- Netty源码学习-ObjectEncoder和ObjectDecoder
bylijinnan
javanetty
Netty中传递对象的思路很直观:
Netty中数据的传递是基于ChannelBuffer(也就是byte[]);
那把对象序列化为字节流,就可以在Netty中传递对象了
相应的从ChannelBuffer恢复对象,就是反序列化的过程
Netty已经封装好ObjectEncoder和ObjectDecoder
先看ObjectEncoder
ObjectEncoder是往外发送
- spring 定时任务中cronExpression表达式含义
chicony
cronExpression
一个cron表达式有6个必选的元素和一个可选的元素,各个元素之间是以空格分隔的,从左至右,这些元素的含义如下表所示:
代表含义 是否必须 允许的取值范围 &nb
- Nutz配置Jndi
ctrain
JNDI
1、使用JNDI获取指定资源:
var ioc = {
dao : {
type :"org.nutz.dao.impl.NutDao",
args : [ {jndi :"jdbc/dataSource"} ]
}
}
以上方法,仅需要在容器中配置好数据源,注入到NutDao即可.
- 解决 /bin/sh^M: bad interpreter: No such file or directory
daizj
shell
在Linux中执行.sh脚本,异常/bin/sh^M: bad interpreter: No such file or directory。
分析:这是不同系统编码格式引起的:在windows系统中编辑的.sh文件可能有不可见字符,所以在Linux系统下执行会报以上异常信息。
解决:
1)在windows下转换:
利用一些编辑器如UltraEdit或EditPlus等工具
- [转]for 循环为何可恨?
dcj3sjt126com
程序员读书
Java的闭包(Closure)特征最近成为了一个热门话题。 一些精英正在起草一份议案,要在Java将来的版本中加入闭包特征。 然而,提议中的闭包语法以及语言上的这种扩充受到了众多Java程序员的猛烈抨击。
不久前,出版过数十本编程书籍的大作家Elliotte Rusty Harold发表了对Java中闭包的价值的质疑。 尤其是他问道“for 循环为何可恨?”[http://ju
- Android实用小技巧
dcj3sjt126com
android
1、去掉所有Activity界面的标题栏
修改AndroidManifest.xml 在application 标签中添加android:theme="@android:style/Theme.NoTitleBar"
2、去掉所有Activity界面的TitleBar 和StatusBar
修改AndroidManifes
- Oracle 复习笔记之序列
eksliang
Oracle 序列sequenceOracle sequence
转载请出自出处:http://eksliang.iteye.com/blog/2098859
1.序列的作用
序列是用于生成唯一、连续序号的对象
一般用序列来充当数据库表的主键值
2.创建序列语法如下:
create sequence s_emp
start with 1 --开始值
increment by 1 --増长值
maxval
- 有“品”的程序员
gongmeitao
工作
完美程序员的10种品质
完美程序员的每种品质都有一个范围,这个范围取决于具体的问题和背景。没有能解决所有问题的
完美程序员(至少在我们这个星球上),并且对于特定问题,完美程序员应该具有以下品质:
1. 才智非凡- 能够理解问题、能够用清晰可读的代码翻译并表达想法、善于分析并且逻辑思维能力强
(范围:用简单方式解决复杂问题)
- 使用KeleyiSQLHelper类进行分页查询
hvt
sql.netC#asp.nethovertree
本文适用于sql server单主键表或者视图进行分页查询,支持多字段排序。KeleyiSQLHelper类的最新代码请到http://hovertree.codeplex.com/SourceControl/latest下载整个解决方案源代码查看。或者直接在线查看类的代码:http://hovertree.codeplex.com/SourceControl/latest#HoverTree.D
- SVG 教程 (三)圆形,椭圆,直线
天梯梦
svg
SVG <circle> SVG 圆形 - <circle>
<circle> 标签可用来创建一个圆:
下面是SVG代码:
<svg xmlns="http://www.w3.org/2000/svg" version="1.1">
<circle cx="100" c
- 链表栈
luyulong
java数据结构
public class Node {
private Object object;
private Node next;
public Node() {
this.next = null;
this.object = null;
}
public Object getObject() {
return object;
}
public
- 基础数据结构和算法十:2-3 search tree
sunwinner
Algorithm2-3 search tree
Binary search tree works well for a wide variety of applications, but they have poor worst-case performance. Now we introduce a type of binary search tree where costs are guaranteed to be loga
- spring配置定时任务
stunizhengjia
springtimer
最近因工作的需要,用到了spring的定时任务的功能,觉得spring还是很智能化的,只需要配置一下配置文件就可以了,在此记录一下,以便以后用到:
//------------------------定时任务调用的方法------------------------------
/**
* 存储过程定时器
*/
publi
- ITeye 8月技术图书有奖试读获奖名单公布
ITeye管理员
活动
ITeye携手博文视点举办的8月技术图书有奖试读活动已圆满结束,非常感谢广大用户对本次活动的关注与参与。
8月试读活动回顾:
http://webmaster.iteye.com/blog/2102830
本次技术图书试读活动的优秀奖获奖名单及相应作品如下(优秀文章有很多,但名额有限,没获奖并不代表不优秀):
《跨终端Web》
gleams:http