testbench简单范例

//timescale 仿真时间单位/时间精度(时间精度不能比时间单位还要大)
timescale 1ns/1ps
//定义一个无输入无输出的Moudle
module Led_clg_tst();

//被测设计的输入信号,对应测试脚本的输出信号(注意要定义成reg)
reg clk;
reg rst_n;
//被测设计的输出信号,对应测试脚本的输入信号(注意要定义成wire)
wire led;

//例化待测模块
Led led_test
(
.clk(clk),
.rst_n(rst_n),
.led(led)
);
//使用Initail生成rst_n激励
initial
begin
//监控Led信号变化
monitor( time,”led value= %b\n”,led);

//复位信号产生
rst_n = 1'b1;
clk = 1'b0;
#1000
rst_n =1'b0;

//延时50个us
repeat(50) #1000;

end

//使用alwasys模拟产生25M的时钟信号
always #20 clk = ~clk;

endmodule

你可能感兴趣的:(Verilog)