(电工基地笔记)Vivado出现编译错误,无法生成bit文件

error:[Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 15 out of 15 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: key[5:0], led[6:0], clk, pwm.
解决办法:按照错误提示,对应IO指定电压,比如我在xdc文件添加了:

set_property IOSTANDARD LVCMOS33 [get_ports clk];

......

你可能感兴趣的:(Verilog,FPGA,电工基地)