Circuits--Sequential Logic--Finite State Machines--Lemmings2

网址:https://hdlbits.01xz.net/wiki/Lemmings2

module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    input ground,
    output walk_left,
    output walk_right,
    output aaah ); 
    
    parameter [2:0]  LEFT 

你可能感兴趣的:(HDLbits)