E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
UVM自学记录
UVM
TLM之analysis_port的write函数
analysis端口analysis_port/analysis_export(analysis_imp)没有阻塞和非阻塞概念在analysis_imp所在的模块必须定义一个write函数;write函数收到的数据放到run_phase中处理需要存放到队列中并借助一个event;(example)具体过程:analysis_port的write函数会依次获取与其相连的analysis_imp,并调
斐非韭
·
2024-08-30 20:30
uvm
白皮书《
UVM
实战》2.5.2代码详细注释(包括知识点对应页码)
SV`defineMY_DRIVER__SVclassmy_driverextendsuvm_driver#(my_transaction);virtualmy_ifvif;//声明虚接口vif//使用
uvm
_component_utils
元气少女大斩FPGA
·
2024-08-24 22:36
fpga开发
DVT学习与使用
补充1.打开某些隐藏窗口或图标:1.DVT基本概念和示例引入1.1双击
uvm
_1.2_ubus,选择一个路径,点finish,dvt会自动将ubus这个例子的文件拷贝在你创建的路径下,并且自动帮助你构建项目
Dale_e
·
2024-02-08 15:46
SV学习
学习
笔记
经验分享
材料工程
UVM
实验4
1.实验目的将generator,driver与test的关系最终移植为sequence,sequencer,driver和test的关系,聚焦于sequence和sequencer的使用。2.实验过程2.1driver与sequencer的改建1.验证结构框图2.实际代码以chnl_pkg为例:classchnl_driverextendsuvm_driver#(chnl_trans);//dr
Dale_e
·
2024-02-08 15:16
SV学习
笔记
经验分享
学习
UVM
实验3
1.实验目的1.在之前的monitor到checker的通信,以及checker与referencemodel之间的通信,都是通过mailbox以及在上层进行其句柄的传递实现的。我们在接下来的实验要求中,需要大家使用TLM端口进行通信,做逐步的通信元素和方法的替换2.涉及到通信的有各个agent里的monitor和mcdf_checker的mailbox的通信;以及mcdf_fefmod和mcdf
Dale_e
·
2024-02-08 15:15
SV学习
材料工程
笔记
经验分享
学习
UVM
实验2
1.代码讲解1.1问题1:为什么要用$cast(),以及为什么要用void’()taskdo_drive();chnl_transreq,rsp;@(posedgeintf.rstn);foreverbeginthis.req_mb.get(req);this.chnl_write(req);void'($cast(rsp,req.clone()));//req.clone调用的核心基类的方法,永
Dale_e
·
2024-02-08 15:45
SV学习
笔记
经验分享
材料工程
Rust语言入门小结(第1篇)
笔者的
自学记录
,供参考环境搭建与第一个Rust程序以Linux环境为例#下载并安装curl--proto'=https'--tlsv1.2https://sh.rustup.rs-sSf|sh#更新rustupupdate
CS_Zero
·
2024-02-08 07:04
rust
开发语言
UVM
功能覆盖率(二) —— 基本语法介绍
文章目录前言一、覆盖组covergroup二、覆盖点coverpoints2.1iff关键字2.2default关键字2.3bins关键字2.3.1带参数的bins2.4with关键字2.5wildcard关键字2.6ignore_bins关键字2.7illegal_bins关键字2.8一些异常情况的举例分析三、交叉覆盖率cross3.1cross关键字3.2binsof和intersect关键字
hh199203
·
2024-02-06 09:23
UVM
UVM
功能覆盖率
systemverilog
基本语法
UVM
中打印格式及其控制方法
文章目录前言一、
UVM
中提供的打印宏二、
UVM
打印宏打印参数三、命令行控制打印信息总结前言在基于
UVM
的验证环境中写代码的时候,经常需要打印一些参数进行调试。
hh199203
·
2024-02-06 09:23
UVM
UVM
systemverilog
sformatf
打印格式
【无刷电机学习】电流采样电路硬件方案
【仅作
自学记录
,不出于任何商业目的】目录AD8210INA282INA240INA199AD8210【AD8210数据手册】在典型应用中,AD8210放大由负载电流通过分流电阻产生的小差分输入电压。
白白与瓜
·
2024-02-02 11:22
毕业设计学习
智能车学习
学习
单片机
电流环
硬件
【数字IC精品文章收录】近500篇文章-学习路线-基础知识-接口-总线-脚本语言-芯片求职-安全-EDA-工具-低功耗设计-Verilog-低功耗-STA-设计-验证-FPGA-架构-AMBA-书籍-
硬件描述语言(Verilog)3.3linux操作系统3.4C语言3.5微机原理3.6汇编语言3.7计算机组成原理3.8计算机体系架构3.9STA静态时序分析3.10SystemVerilog3.11
UVM
3.12SVA3
程序员负总裁
·
2024-01-31 10:05
学习
安全
fpga开发
UVM
实战笔记(七)
第七章.
UVM
中的寄存器模型7.1寄存器模型简介7.1.1带寄存器配置总线的DUT本章节使用的DUT带寄存器配置,代码如下:moduledut(clk,rst_n,bus_cmd_valid,bus_op
搬砖小张
·
2024-01-29 03:27
UVM实战
fpga开发
学习
硬件工程
关于
uvm
_reg_predictor #() predictor
1、ExplicitRegisterPredictor`
uvm
_reg_predictor`类定义了一个预测器组件,用于基于在物理总线上显式观察到的事务来更新寄存器模型的镜像值。
禅空心已寂
·
2024-01-29 03:26
前端
uvm
reg_predictor
IC验证
【
UVM
源码】sequence机制使用方法和源代码解析
sequence的body方法开发:(2)sequence启动方式:(3)virtualsequencer二、sequence机制源代码解析Sequences类继承关系sequence相关类的源码文件介绍
uvm
_sequence_item.svh
飓风_数字IC验证
·
2024-01-22 12:01
UVM基础理论
硬件工程
UVM
的guideline
UVM
库是类的集合,它通过提供如何使用SystemVerilog中的功能结构,使SystemVerilog语言使用起来更为通用顺畅。然而,在许多情况下,
UVM
提供多种机制来完成相同的工作。
谷公子的藏经阁
·
2024-01-18 04:03
UVM
Mentor
指导手册
systemverilog
芯片
你真的了解
UVM
sequence的运行机制吗
1.前言
UVM
在sequence里提供了很多的callback方法给用户,从而更灵活地完成各种复杂场景的交互和控制执行顺序。
谷公子的藏经阁
·
2024-01-18 04:02
芯片
UVM
sequence
body
callback
sequence_item
UVM
自学笔记:项目之五——完善agent(driver、monitor、sequencr)
目录1.driver的编写2.monitor代码编写:3.slave_module的完善4.跑一下testcase1.driver的编写我们将driver的所有信号都完善,并且在reset_phase执行reset_do()命令,对所有信号进行上电复位的初始化。完善好的driver代码如下:2.monitor代码编写:在编写monitor的时候,采用了和编写driver不同的方式,这种方式实现起来
IC_SH
·
2024-01-17 06:37
其他
systemverilog
UVM
自学笔记:项目之四(重难点)——具有AHB二级流水特性的高适用性driver的编写(考虑到hready为低情况)
目录1.driver写功能编写,为了验证driver的功能我们同时需要完善sequence、sequence_item、env、testcase以及模拟DUT行为的Slave_module1.1interface的编写:1.2driver写功能编写:1.2.1初始main_phase代码:1.2.2满足AHB二级流水要求的main_phase代码:1.2.3.do_drive任务代码:1.2.4.
IC_SH
·
2024-01-17 06:36
systemverilog
sram
其他
UVM
项目之二:验证计划的编写
AHB_SRAMC验证计划1.文档信息1.1版本版本日期描述作者V1.02021/8/14从sramc_top层直接验证ZhangshuhuaiV2.02021/8/24内部模块ahb_slave_if的验证Zhangshuhuai1.2参考文档名称日期作者基于AHB总线的SRAM控制器设计的需求分析.doc未知未知基于AHB总线的SRAM控制器架构设计.doc未知未知基于AHB总线的SRAM控制
IC_SH
·
2024-01-17 06:06
其他
硬件架构
UVM
项目自学笔记之一:阅读design specification
目录一、IC验证流程二、designspecification一、IC验证流程在此次
UVM
项目中,笔者将使用
UVM
的平台架构和编码风格实现AHB—SRAMC的验证工作。
IC_SH
·
2024-01-17 06:06
其他
硬件架构
arm开发
关于
uvm
_subscriber
目录summary:Ports:analysis_exportMethods:newwritesummary:Thisclassprovidesananalysisexportforreceivingtransactionsfromaconnectedanalysisexport.Makingsuchaconnection“subscribes”thiscomponenttoanytransact
禅空心已寂
·
2024-01-15 03:29
前端
Java基础
自学记录
七
面向对象编程(中级部分)此为笔者个人笔记,如有错误还请指出关于IDEA只要不在DOS下允许,编码都用UTF-8在IDEA中,当run时,会先编译成一个.class文件,再运行.class文件在out文件夹中IDEA快捷键盘删除当前行ctrl+d复制当前行ctrl+alt+c补全代码alt+/ctrl+/添加注释去除注释快速导入类alt+enterctrl+shift+l查找ctrl+alt+l格式
MXYLL_
·
2024-01-12 01:09
Java基础
java
开发语言
intellij-idea
Unity Shader - Ray Marching Study Summary - 学习汇总
自学记录
用RayMarching字面意思就是:光线步进。
Jave.Lin
·
2024-01-10 20:52
Raymarching
RayMarching
光线步进
Ray
Marching
uvm
_component---super.xxx_phase
对于build_phase来说,
uvm
_component对其做的最重要的事情就是自动获取通过config_db::set设置的参数。
li_li_li_1202
·
2024-01-02 16:32
29
UVM
Command Line Processor (CLP)
类似地,
UVM
提供了一个接口来提供命令行参数,从而提供了灵活性,在“
uvm
_cmdline_processor”类的帮助下可以避免重新编译testbench。它允许使用不同的配置运行测试。u
小邦是名小ICer
·
2024-01-01 22:58
UVM
vlsiverify_uvm
30
UVM
Adder Testbench Example
1AdderDesign加法器设计在时钟的上升沿产生两个变量的加法。复位信号用于clearout信号。注:加法器可以很容易地用组合逻辑开发。引入时钟和重置,使其具有测试台代码中时钟和重置的样子/风格。moduleadder(inputclk,reset,input[7:0]in1,in2,outputreg[8:0]out);always@(posedgeclkorposedgereset)beg
小邦是名小ICer
·
2024-01-01 22:58
UVM
vlsiverify_uvm
28 Singleton Object in
UVM
在
UVM
中,
uvm
_root类只有一个实例。因此,它被称为单例类/singletonobject。
小邦是名小ICer
·
2024-01-01 22:27
UVM
vlsiverify_uvm
27
UVM
queue
uvm
_queue类构建一个动态队列,该队列将按需分配并通过引用传递。
小邦是名小ICer
·
2024-01-01 22:57
UVM
vlsiverify_uvm
UVM
高级应用
说明:这部分内容比较零散,且很多不好理解,所以这里只能选择性介绍一些内容。使用interface代替driver部分功能方法:在interface中可以定义任务与函数,也可以使用always语句和initial语句,也可以实例化其他interface。可用其做一些低层次的转换,如8b10b转换、曼彻斯特编码等。这些转换动作是与transaction完全无关的。好处:让driver从底层繁杂的数据处
夕文x
·
2023-12-31 20:01
硬件开发
verilog
芯片
fpga开发
硬件工程
学习
UVM
中factory机制的本质
factory机制的本质是什么?factory机制本质是对SystemVerilog中new函数的重载,其带来了如下好处:提供新的创建实例的方法:根据类名创建这个类的一个实例。应用:根据run_test的参数AAA创建了一个AAA的实例提供重载过程控制函数,使得重载更加灵活,代码复用性更方便。应用:通过重载transaction、sequence或component,复用原有代码,快速创建新测试用
夕文x
·
2023-12-31 20:31
硬件开发
芯片
fpga开发
verilog
硬件工程
学习
UVM
中代码的callback机制
对callback机制的理解:类似程序中中断异常处理的概念,而callback就相当于在程序某个位置插入了中断点,当
UVM
执行过程遇到callback调用时,就会调用使用者自定义实现的callback函数
夕文x
·
2023-12-31 20:31
硬件开发
芯片
fpga开发
verilog
硬件工程
学习
21
UVM
printer
uvm
_printer类提供了以不同格式打印
uvm
_objects的灵活性。
小邦是名小ICer
·
2023-12-31 01:41
UVM
vlsiverify_uvm
19
UVM
Subscriber
uvm
_subscriber类提供与analysisport连接的analysisexport。顾名思义,它订阅广播器,即analysisport来接收broadcastedtransactions。
小邦是名小ICer
·
2023-12-31 01:10
UVM
vlsiverify_uvm
20
UVM
comparer
在
uvm
_object中,我们讨论了print、clone、copy、compare方法等。compare()方法比较两个对象,如果比较成功则返回1。
小邦是名小ICer
·
2023-12-31 01:10
UVM
vlsiverify_uvm
22
UVM
Callbacks
UVM
中的phasing机制就是回调的一个简单示例。1UVMCallbackUsage允许即插即用机制以建立可重用的验证环境。
小邦是名小ICer
·
2023-12-31 01:09
UVM
vlsiverify_uvm
14 Arbitration in sequencer(仲裁)
uvm
_sequencer有一个内置机制,可以在sequencer上同时运行的sequence中进行仲裁。
小邦是名小ICer
·
2023-12-29 01:17
UVM
vlsiverify_uvm
14.3 Lock and Grab Methods in
UVM
sequencer
UVMsequencer通过使用锁定机制向driver提供对序列的独占访问权限(exclusiveaccess)。此锁定机制是使用lock和grab方法实现的。例如:在控制器或微处理器中,internalcore中断服务处理以及其他操作。有时,如果设备引发特定中断,需要立即关注并停止正在进行的进程执行。一旦core为这个高优先级中断提供服务,就可以恢复之前的进程。1Lockmethodsequen
小邦是名小ICer
·
2023-12-29 01:47
UVM
vlsiverify_uvm
15 Sequence-Driver-Sequencer communication in
UVM
我们分别讨论了sequece_item、sequence、sequencer和driver。在本节中,我们将讨论他们如何相互talk,sequencer如何给driver提供从sequence里的sequenceitem。在开始阅读本节之前,请确保您了解sequencer和driver中使用的所有方法。(参考:UVMseqeuencer和UVMdriver).1Sequencer-DriverCo
小邦是名小ICer
·
2023-12-29 01:45
UVM
vlsiverify_uvm
7.3
uvm
_config_db in
UVM
uvm
_config_db类派生自
uvm
_resource_db类。它是
uvm
_resource_db顶部的另一层便利层,简化了用于
uvm
_component实例的基本接口(资源库的访问方法)。
小邦是名小ICer
·
2023-12-27 07:57
UVM
7.3 Passing interface handle down the hierarchy in
UVM
`
uvm
_component_utils(env)functionnew(
小邦是名小ICer
·
2023-12-27 07:57
UVM
vlsiverify_uvm
8
UVM
testbench Top
接口使用set方法存储在
uvm
_config_db中,可以使用get方法沿层次结构向下检索。UVMtestbenchtop还用于通过调用run_test()来触发测试。ExampleofUVMTe
小邦是名小ICer
·
2023-12-27 07:57
UVM
vlsiverify_uvm
7.2
uvm
_resource_db in
UVM
uvm
_resource_db是一个类型参数化type-parameterized的类,它是资源数据库顶部的一个方便层(conveniencelayer)。
小邦是名小ICer
·
2023-12-27 07:55
UVM
UVM
【
UVM
】ral_model 前门访问和后门访问
参考资料:(1)
uvm
中直接操作RTL信号|骏的世界(lujun.org.cn)(2)记录一下关于
uvm
后门(
uvm
_hdl_read)使用时遇见的问题。
Bug_Killer_Master
·
2023-12-20 01:44
uvm
uvm
ral_model
UVM
:config_db
文章目录前言1、config_db的作用2.component的路径索引
uvm
_component::get_full_name();2.1.获取component索引信息的其他方法3、config_db
飞向星河
·
2023-12-19 16:21
数据库
java
数据结构
硬件工程
UVM
Phase机制
文章目录一、UVMPhases二、如何开始
UVM
仿真三、如何结束
UVM
仿真
UVM
利用objection机制来结束仿真`一、UVMPhases所有testbench的组件都是继承
uvm
_component
飞向星河
·
2023-12-19 16:21
fpga开发
【INTEL(ALTERA)】quartus报错
UVM
_FATAL [cxl_tb_top_initialize] Gen5 链接失败。超时怎么办
PrimeProEdition软件版本23.1存在一个问题,您在运行ComputeExpressLink*(CXL*)Type3设计示例的R-Tile英特尔®FPGAIP仿真时,可能会看到以下错误消息:
UVM
_FATAL
神仙约架
·
2023-12-14 22:24
INTEL(ALTERA)
FPGA
fpga开发
quartus
intel
altera
UVM
中封装成agent
由于二者的这种相似性,
UVM
中通常将二者封装在一起,成为一个agent。因此,不同的agent就代表了不同的协议。
一只迷茫的小狗
·
2023-12-06 14:13
uvm
uvm
UVM
实现component之间transaction级别的通信
在
UVM
中,通常使用TLM(TransactionLevelModeling)实现component之间transaction级别的通信。
一只迷茫的小狗
·
2023-12-06 14:13
uvm
Systemverilog
uvm
UVM
验证环境中加入monitor
验证平台必须监测DUT的行为,只有知道DUT的输入输出信号变化之后,才能根据这些信号变化来判定DUT的行为是否正确。验证平台中实现监测DUT行为的组件是monitor。driver负责把transaction级别的数据转变成DUT的端口级别,并驱动给DUT,monitor的行为与其相对,用于收集DUT的端口数据,并将其转换成transaction交给后续的组件如referencemodel、sco
一只迷茫的小狗
·
2023-12-06 14:43
uvm
Systemverilog
uvm
2020-06-29 modelsim下建立OVM环境
如果出现以下错误:1、双击自动化运行.bat文件,无法启动modelsim;2、启动modelsim后显示sim.do为非法命令;3、编译sv文件时显示无法找到“
uvm
_pkg.sv”等库文件时;均考虑是系统的环境变量设置出现问题
笨笨的大石头
·
2023-12-06 12:26
上一页
1
2
3
4
5
6
7
8
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他