E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
UVM自学记录
UVM
response_handler和get_response机制
很多
UVM
用户平时更多的使用get_response()方式去获得
uvm
_driver的response,但get_response有些缺点:由于get_response()是一种阻塞方法,它会阻塞直到收到来自
谷公子的藏经阁
·
2023-10-09 12:14
UVM
response_handle
response_queue
UVM
get_reponse
put_response
uvm
_declare_p_sequencer获取sequencer原理
在看张强白皮书P189中对
uvm
_declare_p_sequencer的描述时有一个疑问,就是为什么通过sequencer的类名就能获取到sequencer,然后自己下来查了一下,理了一下关系才明白。
EXCitrus
·
2023-10-09 12:43
UVM
UVM
RAL后门访问配置
先给一下大致的代码结构,根据代码结构来描述。//dut结构modulemy_dut(...);my_regU_REG(......);endmodulemodulemy_reg(...);//reg1和reg2是一个reg的两个field,reg3单独是一个regreg[15:0]reg1_q;reg[15:0]reg2_q;reg[31:0]reg3_q;endmodule//top_tbmod
EXCitrus
·
2023-10-09 12:13
UVM
UVM
uvm
中transaction的response和id的解读
//其他transaction`
uvm
_create(trans);........
EXCitrus
·
2023-10-09 11:07
UVM
UVM
数字IC
IC验证
学习
数字IC验证工程师应知应会
1、linux工作环境搭建2、Linux常用操作3、vim常用操作4、常用脚本语言的使用5、EDA工具的使用6、systemverilog语法7、
uvm
语法8、覆盖率使用流程
IC白
·
2023-10-07 15:00
数字IC验证手册
linux
测试覆盖率
功能测试
改行学it
fpga开发
智能硬件
AXI VIP的简单使用
的注意事项小例例子的用途步骤生成AXIVIP添加测试文件基础用途架构TheAXIVIPusessimilarnamingandstructuresastheUniversalVerificationMethodology(
UVM
山音水月
·
2023-10-05 06:41
#
Vivado
FPGA
uvm
_field_automation:
UVM
_UNPACK
在前面的文章中已经介绍了
UVM
_PACK的使用方法,这篇来介绍下
UVM
_PACK的姊妹篇–
UVM
_UNPACK的使用方法.一.相关函数1.
uvm
_object定义了do_unpack.do_unpack
weixin_39662684
·
2023-10-02 12:17
UVM_UNPACK
c#
java
uniapp运行APP报错Cannot start service: Host version “0.16.17“ does not match binary version “0.15.18“
0.15.18”经排查,原来用官方的npx@dcloudio/uvmalpha命令把vite版本升到4了,alpha版本是对应HBuilder的alpha版本,解决办法为使用命令npx@dcloudio/
uvm
屿东
·
2023-10-01 13:13
笔记
uni-app
uvm
component组件如果某个phase不想执行。
比如某个component的check_phase有一些比较操作,但是在一些tc中不想执行该比对,可以在该组件加上check_en=1,默认打开检查functioncheck_phase(
uvm
_phasephase
Poisson_Lee
·
2023-09-28 02:36
UVM
验证方法学_phase机制
phase机制是
uvm
最重要的几个机制之一,它使得
uvm
的运行仿真层次化,使得各种例化先后次序正确,保证了验证环境与DUT的正确交互。
Clock_926
·
2023-09-28 00:29
UVM验证方法学
fpga开发
linux
模块测试
硬件工程
开发语言
【
自学记录
】深度学习入门——基于Python的理论与实现(第4章 神经网络的学习)
4.2损失函数#损失函数#均方误差defmean_squared_error(y,t):return0.5*np.sum((y-t)**2)#交叉熵误差def_cross_entropy_error(y,t):delta=1e-7return-np.sum(t*np.log(y+delta))#交叉熵损失函数defcross_entropy_error(y,t):ify.ndim==1:t=t.r
__0077
·
2023-09-27 08:22
深度自学
深度学习
python
神经网络
【
自学记录
】深度学习入门——基于Python的理论与实现(第3章 神经网络)
3.4.33层神经网络Python实现实现的是这个网络**init_network()**函数会进行权重和偏置的初始化,并将它们保存在字典变量network中。这个字典变量network中保存了每一层所需的参数(权重和偏置)。**forward()**函数中则封装了将输入信号转换为输出信号的处理过程。另外,这里出现了forward(前向)一词,它表示的是从输入到输出方向的传递处理。后面在进行神经网
__0077
·
2023-09-26 10:16
深度学习
python
神经网络
uvm
白皮书练习_ch2_ch223_加入objection机制
UVM
中通过objection机制来控制验证平台的关闭。
不动明王呀
·
2023-09-25 21:45
uvm
笔记
systemverilog
UVM
systemverilog
SystemVerilog |
UVM
| Phase机制基础
SystemVerilog|
UVM
|Phase机制基础Phase在
UVM
中可以理解为是仿真片段或者仿真阶段,非常符合phase单词本意。
黄埔数据分析
·
2023-09-23 19:02
uvm
uvm
uvm
pack/unpack
在item中定义do_pack函数在driver中调用下面的这些方法属于
uvm
_objectclass包含的function。
黄埔数据分析
·
2023-09-23 19:01
uvm
uv
sv 产生随机数
$urandom$urandom_rangevirtualtaskrun_phase(inputuvm_phasephase);`
uvm
_info(get_name(),$sformatf("HIERARCHY
黄埔数据分析
·
2023-09-23 19:30
sv
uvm
中将修改
uvm
_severity 和
uvm
_verbosity
uvm
_severity和
uvm
_verbosityuvm_severitySeverityDescriptionUVM_INFO根据Verbosity来控制在log中的打印行为
UVM
_WARNING打印
曲一凡
·
2023-09-22 17:42
UVM
and
Systemverilog
学习
经验分享
UVM
:
uvm
_event,
uvm
_event_pool
而在
UVM
中event进化成
uvm
_event,不仅仅拥有达成不同组件进程之间同步的功能,还能像TLM通信一样传递数据,并且作用范围更广(TLM通信只能在
uvm
_component之间,而
uvm
_event
ucanredo
·
2023-09-20 06:09
UVM
uvm
中
uvm
_event,
uvm
_event_pool的用法
而在
UVM
中event进化成
uvm
_event,不仅仅拥有达成不同组件进程之间同步的功能,还能像TLM通信一样传递数据,并且作用范围更广(TLM通信只能在
uvm
_component之间,而
uvm
_event
Alfred.HOO
·
2023-09-20 06:04
UVM
UVM
UVM
中config_db机制的使用方法
set函数与get函数的参数config_db机制用于在
UVM
验证平台间传递参数。他们通常是成对出现的。set函数时寄信,get函数是收信。
Alfred.HOO
·
2023-09-20 06:04
UVM
uvm_config_db
uvm
白皮书练习_ch2_ch222只有factory机制
uvm
白皮书练习_ch2_ch222只有factory机制factory机制的实现被集成在了一个宏中:
uvm
_component_utils。
不动明王呀
·
2023-09-20 06:32
uvm
systemverilog
数字IC
systemverilog
UVM
笔记
uvm
白皮书练习_ch2_ch221只有driver的验证平台之*2.2.1 最简单的验证平台
uvm
白皮书练习ch221dut.sv这个DUT的功能非常简单,通过rxd接收数据,再通过txd发送出去。其中rx_dv是接收的数据有效指示,tx_en是发送的数据有效指示。
不动明王呀
·
2023-09-19 07:11
uvm
笔记
数字IC
systemverilog
笔记
uvm
UVM
学习笔记--sequence和sequencer(转)
https://blog.csdn.net/wonder_coole/article/details/906658761.UVMsequence机制的意义=======================
UVM
嬉笑的皮皮虾
·
2023-09-18 10:18
uvm
_sequence_library 中添加sequence类型的两种方式
uvm
_sequence_library是从
uvm
_sequence扩展而来的,它是一个容纳了一系列其它sequences类型的容器,在启动时,它会根据模式从这系列sequences中选择并执行它们。
谷公子的藏经阁
·
2023-09-17 14:43
UVM
UVM
sequence_lib
sequence
library
random
UVM
Heartbeat机制
1.前言在硬件电路中,为了使系统在异常情况下能自动复位,一般都需要引入看门狗(Watchdog)。看门狗其实就是一个定时器电路。当看门狗启动后,计数器开始自动计数,经过一定时间,如果没有被清零,计数器溢出就会对CPU产生一个复位信号使系统重启(俗称“被狗咬”)。系统正常运行时,需要在看门狗允许的时间间隔内对看门狗计数器清零(俗称“喂狗”),不让复位信号产生。如果系统不出问题,程序保证按时“喂狗”,
谷公子的藏经阁
·
2023-09-17 14:42
UVM
SystemVerilog
heartbeat
看门狗
UVM
objection
谈谈
UVM
中的Factory机制
为了遵循验证计划完成不同的验证任务,用户可能需要扩展原始的通用验证环境。验证过程是动态的,可重用验证环境的开发人员无法预见未来每一个cornercase验证的项目需求。UVMfactory是经典软件开发中工厂设计模式(factorydesignpattern)的实现,该模式用于创建通用代码,从而在运行时(run-time)确定对象的确切子类型。对于以下可重用的类定义://UVMNon-Factor
数字芯片实验室
·
2023-09-17 11:38
CentOS 学习笔记 [
自学记录
]
CentOS安装:版本:服务器额外软件:FTP服务器设置网络和主机名:centos1.启动sshd远程访问,并加入到开机启动项目启动命令:systemctlstartsshd开机启动:systemctlenablesshd查看状态:systemctlstatussshd2.安装并启动FTP关闭防火墙与SELinux防火墙:systemctlstopfirewalldSELinux:setenfor
赵戬
·
2023-09-16 12:01
Windows
windows+modelsim+
UVM
完成下载和安装,在安装文件夹中可以看到
uvm
-1.1d,这是我们使用的
uvm
版本。在
uvm
-1.1d/win64下有
uvm
_dpi.dll文件,这是已经编译过的
uvm
库。2.编写sim.d
暮阳晨鼓
·
2023-09-13 23:13
【ICer的脚本练习】脚本使用的思维培养 —— 关键词查找
场景跑完仿真之后,想查一个
uvm
定义的关键词在源码中的位置,后者想查查一个RTL中使用的宏是在哪个文件中定义的有没有被后续文件修改。
尼德兰的喵
·
2023-09-10 05:28
IC萌新的脚本培训教程
linux
芯片
IC
python
uvm
_config_db
从
uvm
_resource_db中扩展而来,体现在set()/get()上classuvm_config_db#(typeT=int)extendsuvm_resource_db#(T);setstaticfunctionvoidset
li_li_li_1202
·
2023-09-08 12:42
初入行的IC工程师,如何快速提高自己的竞争力?
学习是第一任务对于IC工程师们来说,数电模电、Verilog/SV、Linux、
UVM
、EDA工具、项
IC修真院
·
2023-09-07 20:28
IC
IC工程师
UVM
中的正则匹配
在
UVM
中,提供了
uvm
_re_match函数来支持正则匹配,默认为C版本,定义为:intuvm_re_match(constchar*re,constchar*str)参数re为正则表达式,str为要匹配的字符表达式
谷公子的藏经阁
·
2023-09-07 01:45
UVM
正则表达式
UVM
globs
systemverilog
随心记录0816
1.foce相关方法下面这张图☞的都是
uvm
_hdl_force和
uvm
_hdi_deposit2.postrandomize函数的使用方法【验证小白】随机中使用post_randomize的正确姿势_
+徐火火+
·
2023-09-07 01:14
开发语言
数字IC常考题(单选、多选、编程)
欲产生序列信号11010111,则至少需要()级触发器以下关于SystemVerilog的描述,正确的
UVM
层次化结构中,最顶层的部件类型
Cheeky_man
·
2023-09-06 08:54
学习总结
数字IC
数字IC
Python
自学记录
--多进程实现
#多进程:一个程序运行过程中,产生了多个进程#多进程实现#n个正在运行的程序---至少n个进程#1个程序----可能只有一个进程,也可能有多个进程#引入进程类frommultiprocessingimportProcessimporttimedefrun1():print("任务执行了1!")time.sleep(5)defrun2():print("任务执行了2!")time.sleep(5)d
A088菠萝
·
2023-09-05 14:48
Python自学记录
python
数字IC面试题笔记
、RISC-V、MISP)2.RTL:用Verilog、systemVerilog、VHDL描述电路(时钟域描述、时序组合逻辑描述:时钟沿、组合逻辑描述:电平)3.功能仿真:理想状态下的仿真4.验证:
UVM
TaylorS_SF
·
2023-09-04 03:52
FPGA
面试
从源码角度来看
UVM
phase
说到UVMphase我们就知道是
UVM
一个很重要的特性,从用户角度来讲,其实就是一些很简单的应用规则,能够极大地提升编码的效率,简化代码复杂度,提高debug的效率。
li_li_li_1202
·
2023-09-01 07:16
小王日记210609
心里一直想着我还要学习,我的sv和
uvm
还没有学完,总感觉有东西压着我,我一直在提醒自己,学不好,就没办法跳槽,劲,蹭的一下就上来了。
码字精灵不二鱼
·
2023-08-30 19:20
芯片设计全流程知识点总结
目录1、低功耗工具2、综合的步骤、工具3、状态机4、异步复位同步释放5、同步复位与异步复位对比6、运算符优先级7、FIFO深度设计8、
UVM
中phase的执行顺序9、三极管10、静态时序分析11、跨时钟域信号处理
GGbao_
·
2023-08-30 02:20
笔面试知识点
fpga开发
UVM
知识点总结-寄存器模型
UVM
中的寄存器模型寄存器模型的优势:在没有寄存器模型之前,只能启动sequence通过前门(FRONTDOOR)访问的方式来读取寄存器,局限较大,在scoreboard(或者其他component)中难以控制
+徐火火+
·
2023-08-26 23:50
UVM
开发语言
pyqt5 多线程QThread
自学记录
pyqt5多线程QThread
自学记录
在编写qt的时候不加入多线程,运行程序往往会导致主进程卡死,比如执行下载某视频或者其他执行比较耗时的程序,泛滥了博客,就是没有理解怎么进行槽函数的关联和传递的经过长时间的攻克
车载testing
·
2023-08-24 14:42
Pyqt5
qt
开发语言
python
Error-[ NYINM] Unsupported systemverilog feature,Found ‘ interface’ inside interface before ‘endinte
一般出现这种
UVM
基础的类声明也会报错的情况,八成是前面的代码出了某种错误(类似的还有什么driver,monitor定义报错),VCS又检查不出来,只好在这里卡住。
泸高这个碉堡是我炸的
·
2023-08-20 13:25
c#
UVM
学习——搭建简单的
UVM
平台
引言本专栏的博客均与
UVM
的学习相关,学习参考:【1】UVMTutorial【2】张强著,
UVM
实战(卷Ⅰ)【3】DownloadUVM(StandardUniversalVerificationMethodology
在路上-正出发
·
2023-08-19 05:09
UVM理论学习
学习
System
Verilog
testbench
UVM
[
自学记录
03|百人计划]移动端GPU的TB(D)R架构基础
一、专有名词解释1.SystemonChip(Soc)Soc是把CPU、GPU、内存、通信基带、GPS模块等等整合在一起的芯片的称呼。常见有A系Soc(苹果),骁龙Soc(高通),麒麟Soc(华为),联发科Soc,猎户座Soc(三星),去年苹果推出的M系Soc,暂用于Mac,但这说明手机、笔记本和PC的通用芯片已经出现了。2.SystemMemory(物理内存)Soc中GPU和CPU共用一块片内L
_Yhisken
·
2023-08-18 07:26
[自学记录]
架构
GPU
TBR
性能优化
学习记录
[
自学记录
05|百人计划]Early-Z和Z-Prepass
其实这篇我是不想写的,因为网上资料真的非常非常多很多人都写过,但是我后来想了想,做笔记不就是这样吗,所以就写吧~。前置知识:深度测试Z-Buffer[计算机图形学]可见性与遮挡,Z-Buffer(前瞻预习/复习回顾)__Yhisken的博客-CSDN博客一、Early-ZEarly-Z顾名思义,中文就是提前深度测试。在传统渲染管线中,我们知道深度测试是在片元着色器之后的,这会造成一个问题,已经处理
_Yhisken
·
2023-08-17 11:07
[自学记录]
渲染
Unity
图形渲染
学习笔记
[
自学记录
06|*百人计划]Gamma矫正与线性工作流
一、前言Gamma矫正其实也属于我前面落下的一块内容,打算把它补上,其它的没补是因为我之前写的GAMES101笔记里已经涵盖了,而Gamma矫正在101里面确实没提到,于是打算把它补上,这块内容并不难,但是想通透的理解我觉的还是有难度的,尤其是关于Gamma矫正,它的原理其实很简单,就是1-1=0,但是想要完全解释的明白我觉得还是有一定困难,而且网上的绝大部分的资料讲解的有一定误导性,也可能是我理
_Yhisken
·
2023-08-17 11:06
[自学记录]
图像处理
学习笔记
学习
UVM
学习知识点
UVM
构建include和importpkg区别.sv.svhhdl_top.sv和hvl_top.sv回顾
uvm
_config,以及自定义
uvm
_configverilog:parameter、defparam
zianren
·
2023-08-17 08:04
学习
UVM
1.
uvm
_pkg.sv
`ifndefUVM_PKG_SV`defineUVM_PKG_SV`include"
uvm
_macros.svh"packageuvm_pkg;`include"dpi/
uvm
_dpi.svh"`include"base
Poisson_Lee
·
2023-08-15 16:55
sequence、item、driver
新手上路在
UVM
世界,利用其核心特性,在创建了组件和顶层环境,并且完成组件之间的TLM端口连接以后,接下来就可以使得整个环境开始运转了。
狮驼岭上的小钻风
·
2023-08-14 19:06
uvm
uvm
sequencer和sequence
●面对多个sequence如果需要同时挂载到sequencer时,那就面临着仲裁的需要,
uvm
_sequencer自带有仲裁特性
狮驼岭上的小钻风
·
2023-08-14 19:06
uvm
uvm
上一页
1
2
3
4
5
6
7
8
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他